HierarchyFilesModulesSignalsTasksFunctionsHelp

/******************************************************************************/ 
/*                                                                            */ 
/* Copyright (c) 1999 Sun Microsystems, Inc. All rights reserved.             */ 
/*                                                                            */ 
/* The contents of this file are subject to the current version of the Sun    */ 
/* Community Source License, microSPARCII ("the License"). You may not use    */ 
/* this file except in compliance with the License.  You may obtain a copy    */ 
/* of the License by searching for "Sun Community Source License" on the      */ 
/* World Wide Web at http://www.sun.com. See the License for the rights,      */ 
/* obligations, and limitations governing use of the contents of this file.   */ 
/*                                                                            */ 
/* Sun Microsystems, Inc. has intellectual property rights relating to the    */ 
/* technology embodied in these files. In particular, and without limitation, */ 
/* these intellectual property rights may include one or more U.S. patents,   */ 
/* foreign patents, or pending applications.                                  */ 
/*                                                                            */ 
/* Sun, Sun Microsystems, the Sun logo, all Sun-based trademarks and logos,   */ 
/* Solaris, Java and all Java-based trademarks and logos are trademarks or    */ 
/* registered trademarks of Sun Microsystems, Inc. in the United States and   */ 
/* other countries. microSPARC is a trademark or registered trademark of      */ 
/* SPARC International, Inc. All SPARC trademarks are used under license and  */ 
/* are trademarks or registered trademarks of SPARC International, Inc. in    */ 
/* the United States and other countries. Products bearing SPARC trademarks   */ 
/* are based upon an architecture developed by Sun Microsystems, Inc.         */ 
/*                                                                            */ 
/******************************************************************************/ 
/***************************************************************************
****************************************************************************
***
***  Program File:  @(#)inputkey.v
***
***
****************************************************************************
****************************************************************************/

// inputkey.v used for VCS compile
//
module inputkey;
reg [31:0] mdump_end;
reg iomode,real_rst;
initial begin
Mclocks.sim(0);
Mclocks.sim(0);
Mclocks.dsbl_breakpoints=1;
Mclocks.chdir(".", 0);
Mclocks.sim(0);
Mclocks.sim(0);
sastasks.max_mismatch =4'h7;
Mclocks.sim(0);
$display("sastasks.max_mismatch = %h(h)",sastasks.max_mismatch );
Mclocks.sim(0);
Mtask.do_reset(1'b0);
$GetEnv("real_rst", real_rst);
if (real_rst == 0)  begin
	$display("Loading I-Tags from $DESIGNDIR/ssparc/lib/512_zeros . . .");
	$readmemh(`TOPDIR,`mc_i_tag_cache.icache_tag.itram.t_ram);
	$readmemh(`TOPDIR,`mc_i_tag_cache.icache_tag.itram.t_v_ram);
	$display("Loading D-Tags from $DESIGNDIR/ssparc/lib/512_zeros . . .");
	$readmemh(`TOPDIR,`mc_d_tag_cache.dcache_tag.dtram.t_ram);
	$readmemh(`TOPDIR,`mc_d_tag_cache.dcache_tag.dtram.t_v_ram);
	$display("done loading Tags");
end
$display("Loading Memory with mem.imag. . . ");
$mem_load(Msystem.TheRam.memHandle, "mem.imag");
$display("done loading Memory");
$display("Loading AFX Memory with mem.imag. . . ");
$mem_load(Msystem.Mafx.afxHandle, "mem.imag");
$display("done loading AFX Memory");
$display("dumping data now...");
/*force*/ Mtask.trace.trace = 1;
Msystem.TheRam.RamWord1.mem_trace = 1;
Msystem.TheRam.RamWord2.mem_trace = 1;
Mclocks.dsbl_breakpoints=0;
Mclocks.cycles('h7fffffff,20,1,2,0);
$GetEnv("mem_dump_end", mdump_end);
Mtask.mdump(0,0,1024,mdump_end,0);
$mem_dump(Msystem.TheRam.memHandle, "tempfile1");
$system("egrep -v '//' tempfile1 > tempfile2");
$system("egrep -v '^$' tempfile2 > tempfile3");
$system("mem_image_flt -o mdump.sparse.v tempfile3");
$system("rm tempfile1 tempfile2 tempfile3");
Mclocks.sim(0);//.
Mtask.iudisp.dump_regs;
Mclocks.sim(0);//.
`fp_rf.fp_regfile.fdump;
$GetEnv("iomode", iomode);
Mclocks.sim(0);//.
if (Mtask.standby_periods>0) Mtask.standby_report;else;
Mclocks.sim(0);//.
$display("Exiting from Chronologic simulation run");
$finish(2);

end 
endmodule
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Aug 19 12:03:30 1999
From: ../../../sparc_v8/env/rtl/inputkey.v

Verilog converted to html by v2html 5.0 (written by Costas Calamvokis).Help