GCF to SDC timing constraints conversion

Each GCF timing constraint maps to a specific SDC timing constraint. Use the list below to evaluate the corresponding constraints.

 

GCF Timing Constraint

SDC Timing Constraint

create_clock

create_clock

set_false_path

set_false_path

set_input_to_register_delay

set_max_delay

set_multicycle_path

set_multicycle_path

set_register_to_output_delay

set_max_delay

set_max_path_delay

set_max_delay

 

Related Topics