Fusion Programmable System Chip
Programming Starter Kit Benefits and Technology Ecosystem Free Software Intellectual Property
  Product Table    Block Diagram    Resource Center    Documentation  

Actel Fusion™: Unlock Creativity. Simplify Implementation. No Compromises.

The Actel Fusion Programmable System Chip (PSC) is the world's first mixed-signal FPGA, integrating configurable analog, large Flash memory blocks, comprehensive clock generation and management circuitry, and high performance programmable logic in a monolithic device. The innovative Actel Fusion architecture can be used with Actel soft ARM7 (CoreMP7) and 8051 (Core8051) cores and is the definitive Programmable System Chip platform.

 

 
Product Table

Fusion Devices
AFS090
AFS250
AFS600
AFS1500
ARM-Enabled Fusion Devices    
M7AFS600
M7AFS1500
System Gates
90,000
250,000
600,000
1,500,000
Tiles (D-Flip-Flops)
2,304
6,144
13,824
38,400
Usable Tiles with CoreMP7S 1
 
 
7,500
32,000
Usable Tiles with CoreMP7Sd 1
 
 
5,237
29,878
Secure (AES) ISP
Yes
Yes
Yes
Yes
PLLs
1
1
2
2
Globals
18
18
18
18
Flash Memory Blocks
(2 Mbits)
1
1
2
4
Total Flash Memory Bits
(Mbits)
2
2
4
8
FlashROM Bits
(kbits)
1
1
1
1
RAM Blocks 1
(4,608 bits)
6
8
24
60
RAM
(kbits)
27
36
108
270
Analog Quads
5
6
10
10
Analog Input Channels
15
18
30
30
Gate Driver Outputs
5
6
10
10
I/O Banks (+ JTAG)
4
4
5
5
Maximum Digital I/Os 2
75
114
172
278
Analog I/Os
20
24
40
40
QN108
37/9 (16)
 
 
 
QN180
60/16 (20)
65/15 (24)
 
 
PQ208
 
93/26 (24)
95/46 (40)
 
FG256
75/22 (20)
114/37 (24)
119/58 (40)
119/58 (40)
FG484
 
 
172/86 (40)
228/86 (40)
FG676
 
 
 
278/139 (40)

Notes:
1 Refer to the CoreMP7 datasheet for more information.
2 Some debug tools require 10 digital I/Os for external connection.

Fusion Resource Center

OneChip Single Chip
Flash-based FPGAs store the configuration information in on-chip Flash cells. Once programmed, the configuration data is an inherent part of the FPGA structure and no external configuration data load is required at system power-up. Flash-based Fusion FPGAs do not require additional system components such as configuration serial nonvolatile memory (EEPROM) or a Flash-based microcontroller in order to load the device configuration data at every system power-up. Increased Fusion functionality can remove several additional components from the board, such as Flash memory, discrete analog ICs, clock sources, EEPROM, and real-time clocks, thereby reducing system cost and board space requirements.
Low Power Low Power
The Actel Flash-based Fusion devices exhibit power characteristics similar to an ASIC, making them an ideal choice for battery-operated and other power-sensitive applications. With Fusion devices, there is no power-on current surge and no high-current transition; these do occur on many SRAM FPGAs. Fusion devices also have low static and dynamic power consumption, further maximizing power savings. These devices support sleep and standby modes of operation to greatly reduce power consumption. Another unique feature of Fusion is the ability to dynamically shift between normal clock speeds and low clock operation during periods of inactivity, and switch back to full speed when needed.
Live At Power-Up Live At Power-Up
Flash-based Fusion devices are live at power-up (LAPU). As soon as system power is applied and within normal operating specifications, Fusion devices are working. The live at power-up feature of Fusion devices greatly simplifies total system design and often allows for the removal of complex programmable logic devices (CPLDs) from the system. Glitches and brownouts in system power will not corrupt the Fusion device’s Flash configuration, and unlike SRAM-based FPGAs, the device will not have to be reloaded when system power is restored. This allows designers to reduce or completely remove the expensive power sequencing, voltage monitor, and brownout detection devices from PCB. Simplifying the total system design by using Flash-based Fusion devices reduces cost and design risk while increasing system reliability and improving system initialization time.
Secure Security
Fusion devices incorporate the Actel FlashLock® feature, providing a unique combination of reprogrammability and design security without external overhead. These advantages can only be offered by an FPGA with nonvolatile Flash memory. Fusion devices have a 128-bit Flash-based lock and industry-leading on-chip AES decryption core, used to secure programmed IP and configuration data. The AES-128-block cipher is a faster, more secure government-approved replacement for DES. Fusion devices have the most comprehensive programmable logic device security solution available today. Fusion devices with AES-based security allow for secure, remote field updates of both system design and Flash memory content (over public networks such as the Internet), and ensure that valuable intellectual property remains out of the hands of system overbuilders, system cloners, and IP thieves. The FPGA design of programmed Fusion devices cannot be read back, though secure (AES-based) design verification is possible. Many device design and layout techniques have been used to make invasive attacks extremely difficult. For example, Flash cells are located beneath seven metal layers, making tampering with the Flash elements extremely difficult. Care has been taken to remove single points of attack in the device’s programming control logic.
Firm Errors Firm Errors
Firm errors occur when high-energy neutrons, generated in the upper atmosphere, strike a configuration cell of an SRAM FPGA. The energy of the collision can change the state of the configuration cell in SRAM FPGAs and thus change the logic, routing, or I/Os in an unpredictable and uncontrollable way. These errors are impossible to prevent in SRAM FPGAs and can result in failure-in-time (FIT) rates in the thousands. The consequences of these types of errors may result in a complete system failure and major support and product liability issues. The configuration element of Fusion FPGAs, the Flash cell, cannot be altered by high-energy neutrons and is therefore immune to neutron effects.

Block Diagram

Move the cursor over the numbers below to learn more about Fusion Programmable System Chip architecture.

 

Fusion Technology and Benefits

One Chip is All You Need
Until now, system designers were forced to choose costly and space-consuming discrete analog components with programmable logic or mixed-signal ASIC solutions to implement a typical system. Fixed architectures and other technology barriers prevented the integration of individual components into a single, low cost chip that met all design requirements.

Real World Interface
Fusion interfaces to the real world; up to 30 high-voltage-tolerant analog inputs enable direct connection to signals from –12 V to +12 V, eliminating the need for signal preconditioning. The Fusion analog to digital converter (ADC) is configurable and supports resolutions up to 12 bits, and sample rates up to 600 k samples per second (ksps). Fusion adds additional functionality with the inclusion of multiple differential input current monitor blocks, each with a built-in amplifier, increasing sensitivity and efficiency. The Fusion integrated temperature monitor circuitry allows for the monitoring of multiple remote temperatures with only an external diode needed. Up to ten high current drive outputs are ideal for metal-oxide semiconductor field-effect transistor (MOSFET) control and/or pulse width modulation (PWM) functions such as direct fan control.

Power and Thermal Management
Fusion is Level 0 live at power-up (LAPU) and can be run from a single 3.3 V power supply. These simple startup requirements enable Fusion to act as the ultimate system manager, capable of monitoring and sequencing multiple power supplies to bring up your board in a controlled manner. The ramp-rate of each power supply is programmable from the Fusion device. Fusion easily integrates thermal management aspects of system control boards by combining its temperature monitor and MOSFET/PWM capabilities.

Dynamic System Configuration
The ability of Fusion devices to support many system-level functions in a single chip makes Fusion an ideal candidate for leading edge system management protocols.

Fusion high performance Flash memory blocks provide nonvolatile memory flexibility to every aspect of your design. At system startup, the Flash memory can be used to initialize the system. SRAMs and registers can be automatically loaded with data from the on-chip Flash memory. Prior to system shutdown, the volatile values in SRAM or registers on the Fusion device can be saved back into the on-chip Flash memory—saving the state of the device for the next system startup (SAVE and RESTORE). The Fusion Flash memory also enables the dynamic changing of system parameters (CONTEXT switch). System boot codes can be stored in the Flash memory for both on-chip and off-chip requirements. The Flash memory can be configured to emulate EEPROM operation with an available endurance extender IP. The optional use of the soft IP Common Flash Interface (CFI) core from Actel enables use of part of the Flash memory for file storage.

Low Power
Built on a low power, high performance Flash process, Fusion provides industry leading low static and dynamic power. Fusion also offers several sleep and standby modes of operation to further extend battery life in portable applications. The Fusion Real- Time Counter (RTC) offers a wide variety of functionality: sleep, standby, periodic wake-up, and low speed/power operation. The addition of both a 1% RC oscillator and two-pin crystal oscillator circuit eliminates the need for expensive external clock sources.

Reconfiguring Systems
Inherent in the fabric of Fusion are the benefits of configurability and field reprogrammability from the successful Actel ProASIC®3 family of Flash FPGA devices. Fusion can be securely programmed late in the manufacturing process or after it is in the field. By enabling a single hardware platform to support multiple projects and products, Fusion allows designers to leverage economies of scale in purchasing, while maintaining the ability to customize products for different markets. Both the firmware (Flash memory) and hardware can be updated in a single step.

Fusion enabled FPGAs offer the best of both worlds.

Documentation

Datasheets:

Fusion:

Fusion Family of Mixed-Signal Flash FPGAs Datasheet  PDF 1.8 MB 4/06
  Fusion Product Brief  PDF 143 KB 4/06

CoreMP7:

  CoreMP7 Datasheet  PDF 240 KB 4/06
  CoreMP7 Product Brief  PDF 87 KB 4/06

CoreAI:

  CoreAI Datasheet  PDF 211 KB 3/06
  CoreAI Product Brief  PDF 87 KB 3/06

Packaging Data:

Package Mechanical Drawings  PDF 1.6 MB 4/06
Package Thermal Characteristics and Weights  PDF 50 KB 1/05
Hermetic Package Mechanical Configuration  PDF 24 KB 11/03

Application Briefs:

Configurable LED Display Module  PDF 58 KB 12/05
Configuring SRAM FPGAs Using Actel Fusion™  PDF 48 KB 3/06
Context Save and Reload with Real-Timestamp  PDF 46 KB 12/05
DC/DC Conversion  PDF 385 KB 1/06
Dual Channel Tone Generator  PDF 50 KB 12/05
Engine Data-Logger and Monitor System  PDF 73 KB 12/05
Intelligent Data Acquisition System  PDF 76 KB 12/05
Intelligent Display Control System  PDF 82 KB 12/05
Intelligent Display Control with Video System  PDF 108 KB 12/05
LCD Backlight Control  PDF 305 KB 1/06
Lower Power Operation with the Fusion Device  PDF 57 KB 12/05
Microprocessor-Based Power Sequencing and Management  PDF 62 KB 4/06
Power Sequencing and Management  PDF 53 KB 12/05
Real-Time Clock in Actel Fusion™ FPGAs  PDF 45 KB 12/05
Smart Battery Management Applications  PDF 63 KB 12/05
Thermal Management with Fusion  PDF 41 KB 1/06

Application Notes:
Fusion FlashROM  PDF 241 KB 12/05
Fusion Security  PDF 844 KB 4/06
Fusion SRAM/FIFO Blocks  PDF 402 KB 12/05
Prototyping With AFS600 for Smaller Devices  PDF 69 KB 12/05
Temperature Monitoring Techniques for Fusion  PDF 143 KB 1/06
Using DDR for Fusion Devices  PDF 389 KB 12/05
Using Fusion FIFO for Generating Periodic Waveforms  PDF 116 KB 12/05
Using Fusion RAM as Multipliers  PDF 389 KB 12/05

White Papers:

Fusion:

Fusion Technology White Paper  PDF 580 KB 4/06

ARM7:

Bringing ARM7TM to the Masses  PDF 124 KB 4/06

Product Information Brochures (PIB):

Fusion:

  Fusion Brochure  PDF 896 KB 4/06
Fusion Starter Kit Brochure  PDF 671 KB 12/05
FlashPro Programmers Brochure  PDF 336 KB 12/05
Total System Cost Brochure  PDF 404 KB 10/05
Live at Power-Up Brochure  PDF 744 KB 6/05
The Importance of Design Security Brochure  PDF 248 KB 4/05
Single-Event Effects in FPGAs  PDF 307 KB 3/05
Total System Power Brochure  PDF 1.2 MB 2/05

CoreMP7:

  CoreMP7 Brochure  PDF 332 KB 4/06

Macro Libraries:
Fusion and ProASIC3/E Macro Library Guide v7.1  PDF 1.1 MB 4/06
SmartGen Cores Reference Guide  PDF 1.2 MB 4/06

User's Guides & Manuals:
Fusion Design Flow Tutorial PDF 501 KB 12/05
Fusion Starter Kit User's Guide  PDF 2.6 MB 12/05
Peripherals User's Guide  PDF 1.6 MB 2/06
SmartGen, FlashROM, ASB, and Flash Memory System Builder User's Guide  PDF 911 KB 2/06

Power Calculator:
Fusion Power Calculator  ZIP 50 KB 12/05