HierarchyFilesModulesSignalsTasksFunctionsHelp

/******************************************************************************/ 
/*                                                                            */ 
/* Copyright (c) 1999 Sun Microsystems, Inc. All rights reserved.             */ 
/*                                                                            */ 
/* The contents of this file are subject to the current version of the Sun    */ 
/* Community Source License, microSPARCII ("the License"). You may not use    */ 
/* this file except in compliance with the License.  You may obtain a copy    */ 
/* of the License by searching for "Sun Community Source License" on the      */ 
/* World Wide Web at http://www.sun.com. See the License for the rights,      */ 
/* obligations, and limitations governing use of the contents of this file.   */ 
/*                                                                            */ 
/* Sun Microsystems, Inc. has intellectual property rights relating to the    */ 
/* technology embodied in these files. In particular, and without limitation, */ 
/* these intellectual property rights may include one or more U.S. patents,   */ 
/* foreign patents, or pending applications.                                  */ 
/*                                                                            */ 
/* Sun, Sun Microsystems, the Sun logo, all Sun-based trademarks and logos,   */ 
/* Solaris, Java and all Java-based trademarks and logos are trademarks or    */ 
/* registered trademarks of Sun Microsystems, Inc. in the United States and   */ 
/* other countries. microSPARC is a trademark or registered trademark of      */ 
/* SPARC International, Inc. All SPARC trademarks are used under license and  */ 
/* are trademarks or registered trademarks of SPARC International, Inc. in    */ 
/* the United States and other countries. Products bearing SPARC trademarks   */ 
/* are based upon an architecture developed by Sun Microsystems, Inc.         */ 
/*                                                                            */ 
/******************************************************************************/ 
//  @(#)multiplierlsb.v	1.1  4/7/92
//
[Up: frac_ctl mlsb]
module MultiplierLSB (
// inputs
                      Rom_ResetMul,
                      notMultip,
                      notAbortWB,
                      SumInLSBs, CarryInLSBs,
                      SCLSBs, SBLSBs, SALSBs,
                      CCLSB, CBLSB, CALSB,
// outputs
                      Shift,
                      Pos,
                      Zero,
                      CarryOut0,
                      CarryOut3,
                      SumOut0,
                  SumCarryLoadEn,
                  InitialMulStep,
/* dhn--01/10/91	notInitialSumZero, */
                  InitialCarryBit);
input notAbortWB, Rom_ResetMul;
input [2:0] SumInLSBs, CarryInLSBs;
input [1:0] SCLSBs, SBLSBs, SALSBs;
input CCLSB, CBLSB, CALSB;
input [8:0] notMultip;
output [3:0] Shift, Pos, Zero;
output       CarryOut0,
             CarryOut3,
             SumOut0;
output            InitialCarryBit,
                  SumCarryLoadEn,
                  InitialMulStep;
/* dhn--01/10/91	notInitialSumZero; */

/* *************************************************

      Multipler Selection Decoding

   ************************************************* */

MulSelCtl m13 (notMultip[8:6], Shift[3], Pos[3], Zero[3], CarryOut3);
MulSelCtl m12 (notMultip[6:4], Shift[2], Pos[2], Zero[2], CCIn);
MulSelCtl m11 (notMultip[4:2], Shift[1], Pos[1], Zero[1], CBIn);
MulSelCtl m10 (notMultip[2:0], Shift[0], Pos[0], Zero[0], CAIn);

/* *************************************************

      Full Add over LSBs and OR result

   ************************************************* */

MulLSBlog lbg (SumInLSBs[2:1], CarryInLSBs[2:1],
            {SCLSBs, SBLSBs, SALSBs},
            {CCLSB, CCIn, CBLSB, CBIn, CALSB, CAIn},
            //ArraySStk,
            //ArrayCStk,
            CarryInLSBs[0], SumInLSBs[0],
           CarryOut0, SumOut0);

carrysaveregslsb csrl (Rom_ResetMul,
                  notMultip[0],
                  notAbortWB,
                  SumCarryLoadEn,
                  InitialMulStep,
/* dhn--01/10/91	notInitialSumZero, */
                  InitialCarryBit);

endmodule
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Aug 19 11:56:50 1999
From: ../../../sparc_v8/ssparc/fpu/fp_ctl/rtl/multiplierlsb.v

Verilog converted to html by v2html 5.0 (written by Costas Calamvokis).Help