HierarchyFilesModulesSignalsTasksFunctionsHelp
Prev12345
        end
      end
      if (model_flags.x_check) begin
        if (INP.rstnn === 1'bx) begin

// Check for UNKNOWN value on control/clock pin
          $display("WARNING at time %0t from %m",$time);
          $display("     \"Unknown value on pin rstnn will be ignored\"");
        end
      end
    end else if ($time > 1 && INP.rstnn_event) begin
      if (INP.rstnn === 1'b1) begin
        last_rising = $time;
      end else if (INP.rstnn === 1'b0) begin
        last_falling = $time;
      end
    end
  end
end // rstnn_check;

// -----  HOLD CHECK PROCESS  -----

always begin : hold_check
reg wait_for_0;
  if (init_finished !== `true) begin
    wait (init_finished === `true);
  end
  if (model_flags.time_check !== `true) begin

// Kill process if NOT USED in simulation
    wait(`false);
  end else begin
    if ($time < 1) begin
      #(`time_unit);
    end
    @(INP.clk or INP.rstnn or INP.ad or INP.cxbenn or INP.par or INP.framenn or INP.trdynn or INP.irdynn or INP.stopnn or INP.devselnn or INP.idsel or INP.perrnn or INP.serrnn or INP.reqnn or INP.gntnn or INP.locknn or INP.par64 or INP.req64nn or INP.ack64nn or INP.sbonn or INP.sdone or INP.intann or INP.intbnn or INP.intcnn or INP.intdnn);
    wait_for_0 = `true;
    wait_for_0 <= #(0) `false;
    @(negedge wait_for_0);
    INP.clk_last_event = $time - INP.clk_event_time;
    INP.rstnn_last_event = $time - INP.rstnn_event_time;
    INP.ad_last_event = $time - INP.ad_event_time;
    INP.cxbenn_last_event = $time - INP.cxbenn_event_time;
    INP.par_last_event = $time - INP.par_event_time;
    INP.framenn_last_event = $time - INP.framenn_event_time;
    INP.trdynn_last_event = $time - INP.trdynn_event_time;
    INP.irdynn_last_event = $time - INP.irdynn_event_time;
    INP.stopnn_last_event = $time - INP.stopnn_event_time;
    INP.devselnn_last_event = $time - INP.devselnn_event_time;
    INP.idsel_last_event = $time - INP.idsel_event_time;
    INP.perrnn_last_event = $time - INP.perrnn_event_time;
    INP.serrnn_last_event = $time - INP.serrnn_event_time;
    INP.reqnn_last_event = $time - INP.reqnn_event_time;
    INP.gntnn_last_event = $time - INP.gntnn_event_time;
    INP.locknn_last_event = $time - INP.locknn_event_time;
    INP.par64_last_event = $time - INP.par64_event_time;
    INP.req64nn_last_event = $time - INP.req64nn_event_time;
    INP.ack64nn_last_event = $time - INP.ack64nn_event_time;
    INP.sbonn_last_event = $time - INP.sbonn_event_time;
    INP.sdone_last_event = $time - INP.sdone_event_time;
    INP.intann_last_event = $time - INP.intann_event_time;
    INP.intbnn_last_event = $time - INP.intbnn_event_time;
    INP.intcnn_last_event = $time - INP.intcnn_event_time;
    INP.intdnn_last_event = $time - INP.intdnn_event_time;
    if (clk === 1'b1 && CNTRL.clk) begin
      if (INP.framenn_last_event <= `time_unit && CNTRL.framenn) begin
      if (CNTRL.r_framenn_clk && INP.clk_last_event < model_times.trh_framenn_clk &&
        (INP.clk_last_event > - model_times.trs_framenn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_framenn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins framenn and clk\"");
      end
      end
      if (INP.trdynn_last_event <= `time_unit && CNTRL.trdynn) begin
      if (CNTRL.r_trdynn_clk && INP.clk_last_event < model_times.trh_trdynn_clk &&
        (INP.clk_last_event > - model_times.trs_trdynn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_trdynn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins trdynn and clk\"");
      end
      end
      if (INP.irdynn_last_event <= `time_unit && CNTRL.irdynn) begin
      if (CNTRL.r_irdynn_clk && INP.clk_last_event < model_times.trh_irdynn_clk &&
        (INP.clk_last_event > - model_times.trs_irdynn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_irdynn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins irdynn and clk\"");
      end
      end
      if (INP.stopnn_last_event <= `time_unit && CNTRL.stopnn) begin
      if (CNTRL.r_stopnn_clk && INP.clk_last_event < model_times.trh_stopnn_clk &&
        (INP.clk_last_event > - model_times.trs_stopnn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_stopnn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins stopnn and clk\"");
      end
      end
      if (INP.devselnn_last_event <= `time_unit && CNTRL.devselnn) begin
      if (CNTRL.r_devselnn_clk && INP.clk_last_event < model_times.trh_devselnn_clk &&
        (INP.clk_last_event > - model_times.trs_devselnn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_devselnn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins devselnn and clk\"");
      end
      end
      if (INP.idsel_last_event <= `time_unit && CNTRL.idsel) begin
      if (CNTRL.r_idsel_clk && INP.clk_last_event < model_times.trh_idsel_clk &&
        (INP.clk_last_event > - model_times.trs_idsel_clk ||
        (INP.clk_last_event == 0 && model_times.trs_idsel_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins idsel and clk\"");
      end
      end
      if (INP.perrnn_last_event <= `time_unit && CNTRL.perrnn) begin
      if (CNTRL.r_perrnn_clk && INP.clk_last_event < model_times.trh_perrnn_clk &&
        (INP.clk_last_event > - model_times.trs_perrnn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_perrnn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins perrnn and clk\"");
      end
      end
      if (INP.serrnn_last_event <= `time_unit && CNTRL.serrnn) begin
      if (CNTRL.r_serrnn_clk && INP.clk_last_event < model_times.trh_serrnn_clk &&
        (INP.clk_last_event > - model_times.trs_serrnn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_serrnn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins serrnn and clk\"");
      end
      end
      if (INP.reqnn_last_event <= `time_unit && CNTRL.reqnn) begin
      if (CNTRL.r_reqnn_clk && INP.clk_last_event < model_times.trh_reqnn_clk &&
        (INP.clk_last_event > - model_times.trs_reqnn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_reqnn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins reqnn and clk\"");
      end
      end
      if (INP.locknn_last_event <= `time_unit && CNTRL.locknn) begin
      if (CNTRL.r_locknn_clk && INP.clk_last_event < model_times.trh_locknn_clk &&
        (INP.clk_last_event > - model_times.trs_locknn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_locknn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins locknn and clk\"");
      end
      end
      if (INP.par64_last_event <= `time_unit && CNTRL.par64) begin
      if (CNTRL.r_par64_clk && INP.clk_last_event < model_times.trh_par64_clk &&
        (INP.clk_last_event > - model_times.trs_par64_clk ||
        (INP.clk_last_event == 0 && model_times.trs_par64_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins par64 and clk\"");
      end
      end
      if (INP.req64nn_last_event <= `time_unit && CNTRL.req64nn) begin
      if (CNTRL.r_req64nn_clk && INP.clk_last_event < model_times.trh_req64nn_clk &&
        (INP.clk_last_event > - model_times.trs_req64nn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_req64nn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins req64nn and clk\"");
      end
      end
      if (INP.ack64nn_last_event <= `time_unit && CNTRL.ack64nn) begin
      if (CNTRL.r_ack64nn_clk && INP.clk_last_event < model_times.trh_ack64nn_clk &&
        (INP.clk_last_event > - model_times.trs_ack64nn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_ack64nn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins ack64nn and clk\"");
      end
      end
      if (INP.sbonn_last_event <= `time_unit && CNTRL.sbonn) begin
      if (CNTRL.r_sbonn_clk && INP.clk_last_event < model_times.trh_sbonn_clk &&
        (INP.clk_last_event > - model_times.trs_sbonn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_sbonn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins sbonn and clk\"");
      end
      end
      if (INP.sdone_last_event <= `time_unit && CNTRL.sdone) begin
      if (CNTRL.r_sdone_clk && INP.clk_last_event < model_times.trh_sdone_clk &&
        (INP.clk_last_event > - model_times.trs_sdone_clk ||
        (INP.clk_last_event == 0 && model_times.trs_sdone_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins sdone and clk\"");
      end
      end
      if (INP.intann_last_event <= `time_unit && CNTRL.intann) begin
      if (CNTRL.r_intann_clk && INP.clk_last_event < model_times.trh_intann_clk &&
        (INP.clk_last_event > - model_times.trs_intann_clk ||
        (INP.clk_last_event == 0 && model_times.trs_intann_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins intann and clk\"");
      end
      end
      if (INP.intbnn_last_event <= `time_unit && CNTRL.intbnn) begin
      if (CNTRL.r_intbnn_clk && INP.clk_last_event < model_times.trh_intbnn_clk &&
        (INP.clk_last_event > - model_times.trs_intbnn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_intbnn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins intbnn and clk\"");
      end
      end
      if (INP.intcnn_last_event <= `time_unit && CNTRL.intcnn) begin
      if (CNTRL.r_intcnn_clk && INP.clk_last_event < model_times.trh_intcnn_clk &&
        (INP.clk_last_event > - model_times.trs_intcnn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_intcnn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins intcnn and clk\"");
      end
      end
      if (INP.intdnn_last_event <= `time_unit && CNTRL.intdnn) begin
      if (CNTRL.r_intdnn_clk && INP.clk_last_event < model_times.trh_intdnn_clk &&
        (INP.clk_last_event > - model_times.trs_intdnn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_intdnn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins intdnn and clk\"");
      end
      end
      if (INP.ad_last_event <= `time_unit && CNTRL.ad_in) begin
      if (CNTRL.r_ad_clk &&
        INP.clk_last_event < model_times.trh_ad_clk &&
        (INP.clk_last_event > - model_times.trs_ad_clk ||
        (INP.clk_last_event == 0 && model_times.trs_ad_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins ad and clk\"");
      end
      end
      if (INP.cxbenn_last_event <= `time_unit && CNTRL.cxbenn_in) begin
      if (CNTRL.r_cxbenn_clk &&
        INP.clk_last_event < model_times.trh_cxbenn_clk &&
        (INP.clk_last_event > - model_times.trs_cxbenn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_cxbenn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins cxbenn and clk\"");
      end
      end
      if (INP.par_last_event <= `time_unit && CNTRL.par_in) begin
      if (CNTRL.r_par_clk &&
        INP.clk_last_event < model_times.trh_par_clk &&
        (INP.clk_last_event > - model_times.trs_par_clk ||
        (INP.clk_last_event == 0 && model_times.trs_par_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins par and clk\"");
      end
      end
      if (INP.gntnn_last_event <= `time_unit && CNTRL.gntnn_in) begin
      if (CNTRL.r_gntnn_clk &&
        INP.clk_last_event < model_times.trh_gntnn_clk &&
        (INP.clk_last_event > - model_times.trs_gntnn_clk ||
        (INP.clk_last_event == 0 && model_times.trs_gntnn_clk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins gntnn and clk\"");
      end
      end
    end
  end
end // hold_check;

// -----  DATA IN PROCESSES  -----


always begin: clk_data_in
  if (model_flags.annotated !== `true) begin
    INP.clk <= #( 0)  lmcver.filter(clk);
  end else begin
    INP.clk <= #( model_times.twd_clk)  lmcver.filter(clk);
  end

  @(clk);

end // clk_data_in


always begin: rstnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.rstnn <= #( 0)  lmcver.filter(rstnn);
  end else begin
    INP.rstnn <= #( model_times.twd_rstnn)  lmcver.filter(rstnn);
  end

  @(rstnn);

end // rstnn_data_in


always begin: ad_data_in
  if (model_flags.annotated !== `true) begin
    INP.ad_0 <= #( 0)  lmcver.filter(ad[0]);
    INP.ad_1 <= #( 0)  lmcver.filter(ad[1]);
    INP.ad_2 <= #( 0)  lmcver.filter(ad[2]);
    INP.ad_3 <= #( 0)  lmcver.filter(ad[3]);
    INP.ad_4 <= #( 0)  lmcver.filter(ad[4]);
    INP.ad_5 <= #( 0)  lmcver.filter(ad[5]);
    INP.ad_6 <= #( 0)  lmcver.filter(ad[6]);
    INP.ad_7 <= #( 0)  lmcver.filter(ad[7]);
    INP.ad_8 <= #( 0)  lmcver.filter(ad[8]);
    INP.ad_9 <= #( 0)  lmcver.filter(ad[9]);
    INP.ad_10 <= #( 0)  lmcver.filter(ad[10]);
    INP.ad_11 <= #( 0)  lmcver.filter(ad[11]);
    INP.ad_12 <= #( 0)  lmcver.filter(ad[12]);
    INP.ad_13 <= #( 0)  lmcver.filter(ad[13]);
    INP.ad_14 <= #( 0)  lmcver.filter(ad[14]);
    INP.ad_15 <= #( 0)  lmcver.filter(ad[15]);
    INP.ad_16 <= #( 0)  lmcver.filter(ad[16]);
    INP.ad_17 <= #( 0)  lmcver.filter(ad[17]);
    INP.ad_18 <= #( 0)  lmcver.filter(ad[18]);
    INP.ad_19 <= #( 0)  lmcver.filter(ad[19]);
    INP.ad_20 <= #( 0)  lmcver.filter(ad[20]);
    INP.ad_21 <= #( 0)  lmcver.filter(ad[21]);
    INP.ad_22 <= #( 0)  lmcver.filter(ad[22]);
    INP.ad_23 <= #( 0)  lmcver.filter(ad[23]);
    INP.ad_24 <= #( 0)  lmcver.filter(ad[24]);
    INP.ad_25 <= #( 0)  lmcver.filter(ad[25]);
    INP.ad_26 <= #( 0)  lmcver.filter(ad[26]);
    INP.ad_27 <= #( 0)  lmcver.filter(ad[27]);
    INP.ad_28 <= #( 0)  lmcver.filter(ad[28]);
    INP.ad_29 <= #( 0)  lmcver.filter(ad[29]);
    INP.ad_30 <= #( 0)  lmcver.filter(ad[30]);
    INP.ad_31 <= #( 0)  lmcver.filter(ad[31]);
    INP.ad_32 <= #( 0)  lmcver.filter(ad[32]);
    INP.ad_33 <= #( 0)  lmcver.filter(ad[33]);
    INP.ad_34 <= #( 0)  lmcver.filter(ad[34]);
    INP.ad_35 <= #( 0)  lmcver.filter(ad[35]);
    INP.ad_36 <= #( 0)  lmcver.filter(ad[36]);
    INP.ad_37 <= #( 0)  lmcver.filter(ad[37]);
    INP.ad_38 <= #( 0)  lmcver.filter(ad[38]);
    INP.ad_39 <= #( 0)  lmcver.filter(ad[39]);
    INP.ad_40 <= #( 0)  lmcver.filter(ad[40]);
    INP.ad_41 <= #( 0)  lmcver.filter(ad[41]);
    INP.ad_42 <= #( 0)  lmcver.filter(ad[42]);
    INP.ad_43 <= #( 0)  lmcver.filter(ad[43]);
    INP.ad_44 <= #( 0)  lmcver.filter(ad[44]);
    INP.ad_45 <= #( 0)  lmcver.filter(ad[45]);
    INP.ad_46 <= #( 0)  lmcver.filter(ad[46]);
    INP.ad_47 <= #( 0)  lmcver.filter(ad[47]);
    INP.ad_48 <= #( 0)  lmcver.filter(ad[48]);
    INP.ad_49 <= #( 0)  lmcver.filter(ad[49]);
    INP.ad_50 <= #( 0)  lmcver.filter(ad[50]);
    INP.ad_51 <= #( 0)  lmcver.filter(ad[51]);
    INP.ad_52 <= #( 0)  lmcver.filter(ad[52]);
    INP.ad_53 <= #( 0)  lmcver.filter(ad[53]);
    INP.ad_54 <= #( 0)  lmcver.filter(ad[54]);
    INP.ad_55 <= #( 0)  lmcver.filter(ad[55]);
    INP.ad_56 <= #( 0)  lmcver.filter(ad[56]);
    INP.ad_57 <= #( 0)  lmcver.filter(ad[57]);
    INP.ad_58 <= #( 0)  lmcver.filter(ad[58]);
    INP.ad_59 <= #( 0)  lmcver.filter(ad[59]);
    INP.ad_60 <= #( 0)  lmcver.filter(ad[60]);
    INP.ad_61 <= #( 0)  lmcver.filter(ad[61]);
    INP.ad_62 <= #( 0)  lmcver.filter(ad[62]);
    INP.ad_63 <= #( 0)  lmcver.filter(ad[63]);
  end else begin
    INP.ad_0 <= #( model_times.twd_ad[0])  lmcver.filter(ad[0]);
    INP.ad_1 <= #( model_times.twd_ad[1])  lmcver.filter(ad[1]);
    INP.ad_2 <= #( model_times.twd_ad[2])  lmcver.filter(ad[2]);
    INP.ad_3 <= #( model_times.twd_ad[3])  lmcver.filter(ad[3]);
    INP.ad_4 <= #( model_times.twd_ad[4])  lmcver.filter(ad[4]);
    INP.ad_5 <= #( model_times.twd_ad[5])  lmcver.filter(ad[5]);
    INP.ad_6 <= #( model_times.twd_ad[6])  lmcver.filter(ad[6]);
    INP.ad_7 <= #( model_times.twd_ad[7])  lmcver.filter(ad[7]);
    INP.ad_8 <= #( model_times.twd_ad[8])  lmcver.filter(ad[8]);
    INP.ad_9 <= #( model_times.twd_ad[9])  lmcver.filter(ad[9]);
    INP.ad_10 <= #( model_times.twd_ad[10])  lmcver.filter(ad[10]);
    INP.ad_11 <= #( model_times.twd_ad[11])  lmcver.filter(ad[11]);
    INP.ad_12 <= #( model_times.twd_ad[12])  lmcver.filter(ad[12]);
    INP.ad_13 <= #( model_times.twd_ad[13])  lmcver.filter(ad[13]);
    INP.ad_14 <= #( model_times.twd_ad[14])  lmcver.filter(ad[14]);
    INP.ad_15 <= #( model_times.twd_ad[15])  lmcver.filter(ad[15]);
    INP.ad_16 <= #( model_times.twd_ad[16])  lmcver.filter(ad[16]);
    INP.ad_17 <= #( model_times.twd_ad[17])  lmcver.filter(ad[17]);
    INP.ad_18 <= #( model_times.twd_ad[18])  lmcver.filter(ad[18]);
    INP.ad_19 <= #( model_times.twd_ad[19])  lmcver.filter(ad[19]);
    INP.ad_20 <= #( model_times.twd_ad[20])  lmcver.filter(ad[20]);
    INP.ad_21 <= #( model_times.twd_ad[21])  lmcver.filter(ad[21]);
    INP.ad_22 <= #( model_times.twd_ad[22])  lmcver.filter(ad[22]);
    INP.ad_23 <= #( model_times.twd_ad[23])  lmcver.filter(ad[23]);
    INP.ad_24 <= #( model_times.twd_ad[24])  lmcver.filter(ad[24]);
    INP.ad_25 <= #( model_times.twd_ad[25])  lmcver.filter(ad[25]);
    INP.ad_26 <= #( model_times.twd_ad[26])  lmcver.filter(ad[26]);
    INP.ad_27 <= #( model_times.twd_ad[27])  lmcver.filter(ad[27]);
    INP.ad_28 <= #( model_times.twd_ad[28])  lmcver.filter(ad[28]);
    INP.ad_29 <= #( model_times.twd_ad[29])  lmcver.filter(ad[29]);
    INP.ad_30 <= #( model_times.twd_ad[30])  lmcver.filter(ad[30]);
    INP.ad_31 <= #( model_times.twd_ad[31])  lmcver.filter(ad[31]);
    INP.ad_32 <= #( model_times.twd_ad[32])  lmcver.filter(ad[32]);
    INP.ad_33 <= #( model_times.twd_ad[33])  lmcver.filter(ad[33]);
    INP.ad_34 <= #( model_times.twd_ad[34])  lmcver.filter(ad[34]);
    INP.ad_35 <= #( model_times.twd_ad[35])  lmcver.filter(ad[35]);
    INP.ad_36 <= #( model_times.twd_ad[36])  lmcver.filter(ad[36]);
    INP.ad_37 <= #( model_times.twd_ad[37])  lmcver.filter(ad[37]);
    INP.ad_38 <= #( model_times.twd_ad[38])  lmcver.filter(ad[38]);
    INP.ad_39 <= #( model_times.twd_ad[39])  lmcver.filter(ad[39]);
    INP.ad_40 <= #( model_times.twd_ad[40])  lmcver.filter(ad[40]);
    INP.ad_41 <= #( model_times.twd_ad[41])  lmcver.filter(ad[41]);
    INP.ad_42 <= #( model_times.twd_ad[42])  lmcver.filter(ad[42]);
    INP.ad_43 <= #( model_times.twd_ad[43])  lmcver.filter(ad[43]);
    INP.ad_44 <= #( model_times.twd_ad[44])  lmcver.filter(ad[44]);
    INP.ad_45 <= #( model_times.twd_ad[45])  lmcver.filter(ad[45]);
    INP.ad_46 <= #( model_times.twd_ad[46])  lmcver.filter(ad[46]);
    INP.ad_47 <= #( model_times.twd_ad[47])  lmcver.filter(ad[47]);
    INP.ad_48 <= #( model_times.twd_ad[48])  lmcver.filter(ad[48]);
    INP.ad_49 <= #( model_times.twd_ad[49])  lmcver.filter(ad[49]);
    INP.ad_50 <= #( model_times.twd_ad[50])  lmcver.filter(ad[50]);
    INP.ad_51 <= #( model_times.twd_ad[51])  lmcver.filter(ad[51]);
    INP.ad_52 <= #( model_times.twd_ad[52])  lmcver.filter(ad[52]);
    INP.ad_53 <= #( model_times.twd_ad[53])  lmcver.filter(ad[53]);
    INP.ad_54 <= #( model_times.twd_ad[54])  lmcver.filter(ad[54]);
    INP.ad_55 <= #( model_times.twd_ad[55])  lmcver.filter(ad[55]);
    INP.ad_56 <= #( model_times.twd_ad[56])  lmcver.filter(ad[56]);
    INP.ad_57 <= #( model_times.twd_ad[57])  lmcver.filter(ad[57]);
    INP.ad_58 <= #( model_times.twd_ad[58])  lmcver.filter(ad[58]);
    INP.ad_59 <= #( model_times.twd_ad[59])  lmcver.filter(ad[59]);
    INP.ad_60 <= #( model_times.twd_ad[60])  lmcver.filter(ad[60]);
    INP.ad_61 <= #( model_times.twd_ad[61])  lmcver.filter(ad[61]);
    INP.ad_62 <= #( model_times.twd_ad[62])  lmcver.filter(ad[62]);
    INP.ad_63 <= #( model_times.twd_ad[63])  lmcver.filter(ad[63]);
  end

  @(ad);

end // ad_data_in


always begin: cxbenn_data_in
  if (model_flags.annotated !== `true) begin
    INP.cxbenn_0 <= #( 0)  lmcver.filter(cxbenn[0]);
    INP.cxbenn_1 <= #( 0)  lmcver.filter(cxbenn[1]);
    INP.cxbenn_2 <= #( 0)  lmcver.filter(cxbenn[2]);
    INP.cxbenn_3 <= #( 0)  lmcver.filter(cxbenn[3]);
    INP.cxbenn_4 <= #( 0)  lmcver.filter(cxbenn[4]);
    INP.cxbenn_5 <= #( 0)  lmcver.filter(cxbenn[5]);
    INP.cxbenn_6 <= #( 0)  lmcver.filter(cxbenn[6]);
    INP.cxbenn_7 <= #( 0)  lmcver.filter(cxbenn[7]);
  end else begin
    INP.cxbenn_0 <= #( model_times.twd_cxbenn[0])  lmcver.filter(cxbenn[0]);
    INP.cxbenn_1 <= #( model_times.twd_cxbenn[1])  lmcver.filter(cxbenn[1]);
    INP.cxbenn_2 <= #( model_times.twd_cxbenn[2])  lmcver.filter(cxbenn[2]);
    INP.cxbenn_3 <= #( model_times.twd_cxbenn[3])  lmcver.filter(cxbenn[3]);
    INP.cxbenn_4 <= #( model_times.twd_cxbenn[4])  lmcver.filter(cxbenn[4]);
    INP.cxbenn_5 <= #( model_times.twd_cxbenn[5])  lmcver.filter(cxbenn[5]);
    INP.cxbenn_6 <= #( model_times.twd_cxbenn[6])  lmcver.filter(cxbenn[6]);
    INP.cxbenn_7 <= #( model_times.twd_cxbenn[7])  lmcver.filter(cxbenn[7]);
  end

  @(cxbenn);

end // cxbenn_data_in


always begin: par_data_in
  if (model_flags.annotated !== `true) begin
    INP.par <= #( 0)  lmcver.filter(par);
  end else begin
    INP.par <= #( model_times.twd_par)  lmcver.filter(par);
  end

  @(par);

end // par_data_in


always begin: framenn_data_in
  if (model_flags.annotated !== `true) begin
    INP.framenn <= #( 0)  lmcver.filter(framenn);
  end else begin
    INP.framenn <= #( model_times.twd_framenn)  lmcver.filter(framenn);
  end

  @(framenn);

end // framenn_data_in


always begin: trdynn_data_in
  if (model_flags.annotated !== `true) begin
    INP.trdynn <= #( 0)  lmcver.filter(trdynn);
  end else begin
    INP.trdynn <= #( model_times.twd_trdynn)  lmcver.filter(trdynn);
  end

  @(trdynn);

end // trdynn_data_in


always begin: irdynn_data_in
  if (model_flags.annotated !== `true) begin
    INP.irdynn <= #( 0)  lmcver.filter(irdynn);
  end else begin
    INP.irdynn <= #( model_times.twd_irdynn)  lmcver.filter(irdynn);
  end

  @(irdynn);

end // irdynn_data_in


always begin: stopnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.stopnn <= #( 0)  lmcver.filter(stopnn);
  end else begin
    INP.stopnn <= #( model_times.twd_stopnn)  lmcver.filter(stopnn);
  end

  @(stopnn);

end // stopnn_data_in


always begin: devselnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.devselnn <= #( 0)  lmcver.filter(devselnn);
  end else begin
    INP.devselnn <= #( model_times.twd_devselnn)  lmcver.filter(devselnn);
  end

  @(devselnn);

end // devselnn_data_in


always begin: idsel_data_in
  if (model_flags.annotated !== `true) begin
    INP.idsel_0 <= #( 0)  lmcver.filter(idsel[0]);
    INP.idsel_1 <= #( 0)  lmcver.filter(idsel[1]);
    INP.idsel_2 <= #( 0)  lmcver.filter(idsel[2]);
    INP.idsel_3 <= #( 0)  lmcver.filter(idsel[3]);
    INP.idsel_4 <= #( 0)  lmcver.filter(idsel[4]);
    INP.idsel_5 <= #( 0)  lmcver.filter(idsel[5]);
    INP.idsel_6 <= #( 0)  lmcver.filter(idsel[6]);
    INP.idsel_7 <= #( 0)  lmcver.filter(idsel[7]);
  end else begin
    INP.idsel_0 <= #( model_times.twd_idsel[0])  lmcver.filter(idsel[0]);
    INP.idsel_1 <= #( model_times.twd_idsel[1])  lmcver.filter(idsel[1]);
    INP.idsel_2 <= #( model_times.twd_idsel[2])  lmcver.filter(idsel[2]);
    INP.idsel_3 <= #( model_times.twd_idsel[3])  lmcver.filter(idsel[3]);
    INP.idsel_4 <= #( model_times.twd_idsel[4])  lmcver.filter(idsel[4]);
    INP.idsel_5 <= #( model_times.twd_idsel[5])  lmcver.filter(idsel[5]);
    INP.idsel_6 <= #( model_times.twd_idsel[6])  lmcver.filter(idsel[6]);
    INP.idsel_7 <= #( model_times.twd_idsel[7])  lmcver.filter(idsel[7]);
  end

  @(idsel);

end // idsel_data_in


always begin: perrnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.perrnn <= #( 0)  lmcver.filter(perrnn);
  end else begin
    INP.perrnn <= #( model_times.twd_perrnn)  lmcver.filter(perrnn);
  end

  @(perrnn);

end // perrnn_data_in


always begin: serrnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.serrnn <= #( 0)  lmcver.filter(serrnn);
  end else begin
    INP.serrnn <= #( model_times.twd_serrnn)  lmcver.filter(serrnn);
  end

  @(serrnn);

end // serrnn_data_in


always begin: reqnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.reqnn_0 <= #( 0)  lmcver.filter(reqnn[0]);
    INP.reqnn_1 <= #( 0)  lmcver.filter(reqnn[1]);
    INP.reqnn_2 <= #( 0)  lmcver.filter(reqnn[2]);
    INP.reqnn_3 <= #( 0)  lmcver.filter(reqnn[3]);
    INP.reqnn_4 <= #( 0)  lmcver.filter(reqnn[4]);
    INP.reqnn_5 <= #( 0)  lmcver.filter(reqnn[5]);
    INP.reqnn_6 <= #( 0)  lmcver.filter(reqnn[6]);
    INP.reqnn_7 <= #( 0)  lmcver.filter(reqnn[7]);
  end else begin
    INP.reqnn_0 <= #( model_times.twd_reqnn[0])  lmcver.filter(reqnn[0]);
    INP.reqnn_1 <= #( model_times.twd_reqnn[1])  lmcver.filter(reqnn[1]);
    INP.reqnn_2 <= #( model_times.twd_reqnn[2])  lmcver.filter(reqnn[2]);
    INP.reqnn_3 <= #( model_times.twd_reqnn[3])  lmcver.filter(reqnn[3]);
    INP.reqnn_4 <= #( model_times.twd_reqnn[4])  lmcver.filter(reqnn[4]);
    INP.reqnn_5 <= #( model_times.twd_reqnn[5])  lmcver.filter(reqnn[5]);
    INP.reqnn_6 <= #( model_times.twd_reqnn[6])  lmcver.filter(reqnn[6]);
    INP.reqnn_7 <= #( model_times.twd_reqnn[7])  lmcver.filter(reqnn[7]);
  end

  @(reqnn);

end // reqnn_data_in


always begin: gntnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.gntnn_0 <= #( 0)  lmcver.filter(gntnn[0]);
    INP.gntnn_1 <= #( 0)  lmcver.filter(gntnn[1]);
    INP.gntnn_2 <= #( 0)  lmcver.filter(gntnn[2]);
    INP.gntnn_3 <= #( 0)  lmcver.filter(gntnn[3]);
    INP.gntnn_4 <= #( 0)  lmcver.filter(gntnn[4]);
    INP.gntnn_5 <= #( 0)  lmcver.filter(gntnn[5]);
    INP.gntnn_6 <= #( 0)  lmcver.filter(gntnn[6]);
    INP.gntnn_7 <= #( 0)  lmcver.filter(gntnn[7]);
  end else begin
    INP.gntnn_0 <= #( model_times.twd_gntnn[0])  lmcver.filter(gntnn[0]);
    INP.gntnn_1 <= #( model_times.twd_gntnn[1])  lmcver.filter(gntnn[1]);
    INP.gntnn_2 <= #( model_times.twd_gntnn[2])  lmcver.filter(gntnn[2]);
    INP.gntnn_3 <= #( model_times.twd_gntnn[3])  lmcver.filter(gntnn[3]);
    INP.gntnn_4 <= #( model_times.twd_gntnn[4])  lmcver.filter(gntnn[4]);
    INP.gntnn_5 <= #( model_times.twd_gntnn[5])  lmcver.filter(gntnn[5]);
    INP.gntnn_6 <= #( model_times.twd_gntnn[6])  lmcver.filter(gntnn[6]);
    INP.gntnn_7 <= #( model_times.twd_gntnn[7])  lmcver.filter(gntnn[7]);
  end

  @(gntnn);

end // gntnn_data_in


always begin: locknn_data_in
  if (model_flags.annotated !== `true) begin
    INP.locknn <= #( 0)  lmcver.filter(locknn);
  end else begin
    INP.locknn <= #( model_times.twd_locknn)  lmcver.filter(locknn);
  end

  @(locknn);

end // locknn_data_in


always begin: par64_data_in
  if (model_flags.annotated !== `true) begin
    INP.par64 <= #( 0)  lmcver.filter(par64);
  end else begin
    INP.par64 <= #( model_times.twd_par64)  lmcver.filter(par64);
  end

  @(par64);

end // par64_data_in


always begin: req64nn_data_in
  if (model_flags.annotated !== `true) begin
    INP.req64nn <= #( 0)  lmcver.filter(req64nn);
  end else begin
    INP.req64nn <= #( model_times.twd_req64nn)  lmcver.filter(req64nn);
  end

  @(req64nn);

end // req64nn_data_in


always begin: ack64nn_data_in
  if (model_flags.annotated !== `true) begin
    INP.ack64nn <= #( 0)  lmcver.filter(ack64nn);
  end else begin
    INP.ack64nn <= #( model_times.twd_ack64nn)  lmcver.filter(ack64nn);
  end

  @(ack64nn);

end // ack64nn_data_in


always begin: sbonn_data_in
  if (model_flags.annotated !== `true) begin
    INP.sbonn <= #( 0)  lmcver.filter(sbonn);
  end else begin
    INP.sbonn <= #( model_times.twd_sbonn)  lmcver.filter(sbonn);
  end

  @(sbonn);

end // sbonn_data_in


always begin: sdone_data_in
  if (model_flags.annotated !== `true) begin
    INP.sdone <= #( 0)  lmcver.filter(sdone);
  end else begin
    INP.sdone <= #( model_times.twd_sdone)  lmcver.filter(sdone);
  end

  @(sdone);

end // sdone_data_in


always begin: intann_data_in
  if (model_flags.annotated !== `true) begin
    INP.intann <= #( 0)  lmcver.filter(intann);
  end else begin
    INP.intann <= #( model_times.twd_intann)  lmcver.filter(intann);
  end

  @(intann);

end // intann_data_in


always begin: intbnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.intbnn <= #( 0)  lmcver.filter(intbnn);
  end else begin
    INP.intbnn <= #( model_times.twd_intbnn)  lmcver.filter(intbnn);
  end

  @(intbnn);

end // intbnn_data_in


always begin: intcnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.intcnn <= #( 0)  lmcver.filter(intcnn);
  end else begin
    INP.intcnn <= #( model_times.twd_intcnn)  lmcver.filter(intcnn);
  end

  @(intcnn);

end // intcnn_data_in


always begin: intdnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.intdnn <= #( 0)  lmcver.filter(intdnn);
  end else begin
    INP.intdnn <= #( model_times.twd_intdnn)  lmcver.filter(intdnn);
  end

  @(intdnn);

end // intdnn_data_in


 //---------------------------------
 //---------------------------------
 //-- This block terminates the   --
 //-- code which will be replaced --
 //-- by VGEN on future reruns    --
 //-- Enter USER code only after  --
 //-- this comment block          --
 //---------------------------------
 //--**--**--**--**--**--**--**--**--
 // User defined signals go     --
 // here.                       --
 //-------------------------------
 //-------------------------------


task ad_out;
input [63:0] output_vector;
input [127:0] delay_in;
input [31:0] skew_in;
integer delay[0:3];
integer delay_time, skew, i;
begin
  delay[0] = delay_in[31:0];
  delay[1] = delay_in[63:32];
  delay[2] = delay_in[95:64];
  delay[3] = delay_in[127:96];
  skew = skew_in;
  for (i=0; i<=63; i=i+1) begin
    delay_time = delay[lmcver.map(output_vector[i])] + model_times.tld_ad[i] + skew;
    if (delay_time < 0) begin delay_time = 0; end
    case (i)
      0: ad_reg_0 <= #( delay_time)  output_vector[i];
      1: ad_reg_1 <= #( delay_time)  output_vector[i];
      2: ad_reg_2 <= #( delay_time)  output_vector[i];
      3: ad_reg_3 <= #( delay_time)  output_vector[i];
      4: ad_reg_4 <= #( delay_time)  output_vector[i];
      5: ad_reg_5 <= #( delay_time)  output_vector[i];
      6: ad_reg_6 <= #( delay_time)  output_vector[i];
      7: ad_reg_7 <= #( delay_time)  output_vector[i];
      8: ad_reg_8 <= #( delay_time)  output_vector[i];
      9: ad_reg_9 <= #( delay_time)  output_vector[i];
      10: ad_reg_10 <= #( delay_time)  output_vector[i];
      11: ad_reg_11 <= #( delay_time)  output_vector[i];
      12: ad_reg_12 <= #( delay_time)  output_vector[i];
      13: ad_reg_13 <= #( delay_time)  output_vector[i];
      14: ad_reg_14 <= #( delay_time)  output_vector[i];
      15: ad_reg_15 <= #( delay_time)  output_vector[i];
      16: ad_reg_16 <= #( delay_time)  output_vector[i];
      17: ad_reg_17 <= #( delay_time)  output_vector[i];
      18: ad_reg_18 <= #( delay_time)  output_vector[i];
      19: ad_reg_19 <= #( delay_time)  output_vector[i];
      20: ad_reg_20 <= #( delay_time)  output_vector[i];
      21: ad_reg_21 <= #( delay_time)  output_vector[i];
      22: ad_reg_22 <= #( delay_time)  output_vector[i];
      23: ad_reg_23 <= #( delay_time)  output_vector[i];
      24: ad_reg_24 <= #( delay_time)  output_vector[i];
      25: ad_reg_25 <= #( delay_time)  output_vector[i];
      26: ad_reg_26 <= #( delay_time)  output_vector[i];
      27: ad_reg_27 <= #( delay_time)  output_vector[i];
      28: ad_reg_28 <= #( delay_time)  output_vector[i];
      29: ad_reg_29 <= #( delay_time)  output_vector[i];
      30: ad_reg_30 <= #( delay_time)  output_vector[i];
      31: ad_reg_31 <= #( delay_time)  output_vector[i];
      32: ad_reg_32 <= #( delay_time)  output_vector[i];
      33: ad_reg_33 <= #( delay_time)  output_vector[i];
      34: ad_reg_34 <= #( delay_time)  output_vector[i];
      35: ad_reg_35 <= #( delay_time)  output_vector[i];
      36: ad_reg_36 <= #( delay_time)  output_vector[i];
      37: ad_reg_37 <= #( delay_time)  output_vector[i];
      38: ad_reg_38 <= #( delay_time)  output_vector[i];
      39: ad_reg_39 <= #( delay_time)  output_vector[i];
      40: ad_reg_40 <= #( delay_time)  output_vector[i];
      41: ad_reg_41 <= #( delay_time)  output_vector[i];
      42: ad_reg_42 <= #( delay_time)  output_vector[i];
      43: ad_reg_43 <= #( delay_time)  output_vector[i];
      44: ad_reg_44 <= #( delay_time)  output_vector[i];
      45: ad_reg_45 <= #( delay_time)  output_vector[i];
      46: ad_reg_46 <= #( delay_time)  output_vector[i];
      47: ad_reg_47 <= #( delay_time)  output_vector[i];
      48: ad_reg_48 <= #( delay_time)  output_vector[i];
      49: ad_reg_49 <= #( delay_time)  output_vector[i];
      50: ad_reg_50 <= #( delay_time)  output_vector[i];
      51: ad_reg_51 <= #( delay_time)  output_vector[i];
      52: ad_reg_52 <= #( delay_time)  output_vector[i];
      53: ad_reg_53 <= #( delay_time)  output_vector[i];
      54: ad_reg_54 <= #( delay_time)  output_vector[i];
      55: ad_reg_55 <= #( delay_time)  output_vector[i];
      56: ad_reg_56 <= #( delay_time)  output_vector[i];
      57: ad_reg_57 <= #( delay_time)  output_vector[i];
      58: ad_reg_58 <= #( delay_time)  output_vector[i];
      59: ad_reg_59 <= #( delay_time)  output_vector[i];
      60: ad_reg_60 <= #( delay_time)  output_vector[i];
      61: ad_reg_61 <= #( delay_time)  output_vector[i];
      62: ad_reg_62 <= #( delay_time)  output_vector[i];
      63: ad_reg_63 <= #( delay_time)  output_vector[i];
    endcase
  end
end
endtask // ad_out

task adl_out;
input [31:0] output_vector;
input [127:0] delay_in;
input [31:0] skew_in;
integer delay[0:3];
integer delay_time, skew, i;
begin
  delay[0] = delay_in[31:0];
  delay[1] = delay_in[63:32];
  delay[2] = delay_in[95:64];
  delay[3] = delay_in[127:96];
  skew = skew_in;
  for (i=0; i<=31; i=i+1) begin
    delay_time = delay[lmcver.map(output_vector[i])] + model_times.tld_ad[i] + skew;
    if (delay_time < 0) begin delay_time = 0; end
    case (i)
      0: ad_reg_0 <= #( delay_time)  output_vector[i];
      1: ad_reg_1 <= #( delay_time)  output_vector[i];
      2: ad_reg_2 <= #( delay_time)  output_vector[i];
      3: ad_reg_3 <= #( delay_time)  output_vector[i];
      4: ad_reg_4 <= #( delay_time)  output_vector[i];
      5: ad_reg_5 <= #( delay_time)  output_vector[i];
      6: ad_reg_6 <= #( delay_time)  output_vector[i];
      7: ad_reg_7 <= #( delay_time)  output_vector[i];
      8: ad_reg_8 <= #( delay_time)  output_vector[i];
      9: ad_reg_9 <= #( delay_time)  output_vector[i];
      10: ad_reg_10 <= #( delay_time)  output_vector[i];
      11: ad_reg_11 <= #( delay_time)  output_vector[i];
      12: ad_reg_12 <= #( delay_time)  output_vector[i];
      13: ad_reg_13 <= #( delay_time)  output_vector[i];
      14: ad_reg_14 <= #( delay_time)  output_vector[i];
      15: ad_reg_15 <= #( delay_time)  output_vector[i];
      16: ad_reg_16 <= #( delay_time)  output_vector[i];
      17: ad_reg_17 <= #( delay_time)  output_vector[i];
      18: ad_reg_18 <= #( delay_time)  output_vector[i];
      19: ad_reg_19 <= #( delay_time)  output_vector[i];
      20: ad_reg_20 <= #( delay_time)  output_vector[i];
      21: ad_reg_21 <= #( delay_time)  output_vector[i];
      22: ad_reg_22 <= #( delay_time)  output_vector[i];
      23: ad_reg_23 <= #( delay_time)  output_vector[i];
      24: ad_reg_24 <= #( delay_time)  output_vector[i];
      25: ad_reg_25 <= #( delay_time)  output_vector[i];
      26: ad_reg_26 <= #( delay_time)  output_vector[i];
      27: ad_reg_27 <= #( delay_time)  output_vector[i];
      28: ad_reg_28 <= #( delay_time)  output_vector[i];
      29: ad_reg_29 <= #( delay_time)  output_vector[i];
      30: ad_reg_30 <= #( delay_time)  output_vector[i];
      31: ad_reg_31 <= #( delay_time)  output_vector[i];
    endcase
  end
end
endtask // adl_out

task adh_out;
input [63:32] output_vector;
input [127:0] delay_in;
input [31:0] skew_in;
integer delay[0:3];
integer delay_time, skew, i;
begin
  delay[0] = delay_in[31:0];
  delay[1] = delay_in[63:32];
  delay[2] = delay_in[95:64];
  delay[3] = delay_in[127:96];
  skew = skew_in;
  for (i=32; i<=63; i=i+1) begin
    delay_time = delay[lmcver.map(output_vector[i])] + model_times.tld_ad[i] + skew;
    if (delay_time < 0) begin delay_time = 0; end
    case (i)
      32: ad_reg_32 <= #( delay_time)  output_vector[i];
      33: ad_reg_33 <= #( delay_time)  output_vector[i];
      34: ad_reg_34 <= #( delay_time)  output_vector[i];
      35: ad_reg_35 <= #( delay_time)  output_vector[i];
      36: ad_reg_36 <= #( delay_time)  output_vector[i];
      37: ad_reg_37 <= #( delay_time)  output_vector[i];
      38: ad_reg_38 <= #( delay_time)  output_vector[i];
      39: ad_reg_39 <= #( delay_time)  output_vector[i];
      40: ad_reg_40 <= #( delay_time)  output_vector[i];
      41: ad_reg_41 <= #( delay_time)  output_vector[i];
      42: ad_reg_42 <= #( delay_time)  output_vector[i];
      43: ad_reg_43 <= #( delay_time)  output_vector[i];
      44: ad_reg_44 <= #( delay_time)  output_vector[i];
      45: ad_reg_45 <= #( delay_time)  output_vector[i];
      46: ad_reg_46 <= #( delay_time)  output_vector[i];
      47: ad_reg_47 <= #( delay_time)  output_vector[i];
      48: ad_reg_48 <= #( delay_time)  output_vector[i];
      49: ad_reg_49 <= #( delay_time)  output_vector[i];
      50: ad_reg_50 <= #( delay_time)  output_vector[i];
      51: ad_reg_51 <= #( delay_time)  output_vector[i];
      52: ad_reg_52 <= #( delay_time)  output_vector[i];
      53: ad_reg_53 <= #( delay_time)  output_vector[i];
      54: ad_reg_54 <= #( delay_time)  output_vector[i];
      55: ad_reg_55 <= #( delay_time)  output_vector[i];
      56: ad_reg_56 <= #( delay_time)  output_vector[i];
      57: ad_reg_57 <= #( delay_time)  output_vector[i];
      58: ad_reg_58 <= #( delay_time)  output_vector[i];
      59: ad_reg_59 <= #( delay_time)  output_vector[i];
      60: ad_reg_60 <= #( delay_time)  output_vector[i];
      61: ad_reg_61 <= #( delay_time)  output_vector[i];
      62: ad_reg_62 <= #( delay_time)  output_vector[i];
      63: ad_reg_63 <= #( delay_time)  output_vector[i];
    endcase
  end
end
endtask // adh_out

task cxbenn_out;
input [7:0] output_vector;
input [127:0] delay_in;
input [31:0] skew_in;
integer delay[0:3];
integer delay_time, skew, i;
begin
  delay[0] = delay_in[31:0];
  delay[1] = delay_in[63:32];
  delay[2] = delay_in[95:64];
  delay[3] = delay_in[127:96];
  skew = skew_in;
  for (i=0; i<=7; i=i+1) begin
    delay_time = delay[lmcver.map(output_vector[i])] + model_times.tld_cxbenn[i] + skew;
    if (delay_time < 0) begin delay_time = 0; end
    case (i)
      0: cxbenn_reg_0 <= #( delay_time)  output_vector[i];
      1: cxbenn_reg_1 <= #( delay_time)  output_vector[i];
      2: cxbenn_reg_2 <= #( delay_time)  output_vector[i];
      3: cxbenn_reg_3 <= #( delay_time)  output_vector[i];
      4: cxbenn_reg_4 <= #( delay_time)  output_vector[i];
      5: cxbenn_reg_5 <= #( delay_time)  output_vector[i];
      6: cxbenn_reg_6 <= #( delay_time)  output_vector[i];
      7: cxbenn_reg_7 <= #( delay_time)  output_vector[i];
    endcase
  end
end
endtask // cxbenn_out

task cxbennl_out;
input [3:0] output_vector;
input [127:0] delay_in;
input [31:0] skew_in;
integer delay[0:3];
integer delay_time, skew, i;
begin
  delay[0] = delay_in[31:0];
  delay[1] = delay_in[63:32];
  delay[2] = delay_in[95:64];
  delay[3] = delay_in[127:96];
  skew = skew_in;
  for (i=0; i<=3; i=i+1) begin
    delay_time = delay[lmcver.map(output_vector[i])] + model_times.tld_cxbenn[i] + skew;
    if (delay_time < 0) begin delay_time = 0; end
    case (i)
      0: cxbenn_reg_0 <= #( delay_time)  output_vector[i];
      1: cxbenn_reg_1 <= #( delay_time)  output_vector[i];
      2: cxbenn_reg_2 <= #( delay_time)  output_vector[i];
      3: cxbenn_reg_3 <= #( delay_time)  output_vector[i];
    endcase
  end
end
Next12345
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Aug 19 12:00:05 1999
From: ../../../sparc_v8/system/lmc/rtl/pcimonitor_fm.v

Verilog converted to html by v2html 5.0 (written by Costas Calamvokis).Help