HierarchyFilesModulesSignalsTasksFunctionsHelp

/******************************************************************************/ 
/*                                                                            */ 
/* Copyright (c) 1999 Sun Microsystems, Inc. All rights reserved.             */ 
/*                                                                            */ 
/* The contents of this file are subject to the current version of the Sun    */ 
/* Community Source License, microSPARCII ("the License"). You may not use    */ 
/* this file except in compliance with the License.  You may obtain a copy    */ 
/* of the License by searching for "Sun Community Source License" on the      */ 
/* World Wide Web at http://www.sun.com. See the License for the rights,      */ 
/* obligations, and limitations governing use of the contents of this file.   */ 
/*                                                                            */ 
/* Sun Microsystems, Inc. has intellectual property rights relating to the    */ 
/* technology embodied in these files. In particular, and without limitation, */ 
/* these intellectual property rights may include one or more U.S. patents,   */ 
/* foreign patents, or pending applications.                                  */ 
/*                                                                            */ 
/* Sun, Sun Microsystems, the Sun logo, all Sun-based trademarks and logos,   */ 
/* Solaris, Java and all Java-based trademarks and logos are trademarks or    */ 
/* registered trademarks of Sun Microsystems, Inc. in the United States and   */ 
/* other countries. microSPARC is a trademark or registered trademark of      */ 
/* SPARC International, Inc. All SPARC trademarks are used under license and  */ 
/* are trademarks or registered trademarks of SPARC International, Inc. in    */ 
/* the United States and other countries. Products bearing SPARC trademarks   */ 
/* are based upon an architecture developed by Sun Microsystems, Inc.         */ 
/*                                                                            */ 
/******************************************************************************/ 
//  @(#)condmux.v	1.1  4/7/92
//
[Up: Control cm]
module CondMux (C,
                CS,
                notReset,
                notAbortNulExc,
                DyadicOprExc,
		notBrTakenMIS,
                notBrTaken);
input [15:0] C;
input [4:0] CS;
input notAbortNulExc,
      DyadicOprExc,
      notReset;
output notBrTakenMIS;
output notBrTaken;

ME_TIEOFF toff (vdd, gnd);

wire [3:0] T;
wire [4:0] CoS;

ME_INVA h_1 (DyadicOprExc, notDyadicOprExc);
ME_AND3_B h_0 (notAbortNulExc, notReset,
             notDyadicOprExc,
             notPreventBr);

ME_AND2_B c_0(CS[0], notPreventBr, CoS[0]);
ME_AND2_B c_1(CS[1], notPreventBr, CoS[1]);
ME_AND2   c_2(CS[2], notPreventBr, CoS[2]);
ME_AND2   c_3(CS[3], notPreventBr, CoS[3]);
ME_AND2   c_4(CS[4], notPreventBr, CoS[4]);

// C[15] takes different route
ME_MUX4B vx_3 (CoS[0], CoS[1], C[12],C[13],C[14],gnd,  T[3]);
ME_MUX4B vx_2 (CoS[0], CoS[1], C[8],C[9],C[10],C[11],   T[2]);
ME_MUX8B vx_0 (CoS[0], CoS[1], CoS[2], C[0],C[1],C[2],C[3],C[4],C[5],C[6],C[7],C0_7);

ME_NMUX2B vc_1 (CoS[3],  C0_7, T[3],     notC0_11);
ME_XOR2_B vd_0 (notC0_11, CoS[4], notBrTakenNoFrac);

ME_INVA vc_2 (CoS[2], notCoS2);
ME_NAND2 vc_3 (notCoS2, CoS[3], notSelFracConds);
ME_NMUX2B vc_4 (notSelFracConds, T[2], notBrTakenNoFrac,
                BrTakenSlowRC);

// Make a special case of RoundingCondition CoS = {1, 1, 1, 1, 1} ie invert not Rounding condition

ME_AND4   sp_0 (CoS[0], CoS[1], CoS[2], CoS[3], RCSelected);
ME_AND2   sp_1 (CoS[4], RCSelected, ControlForRCPos);

ME_NMUX2B_B sp_2 (ControlForRCPos, BrTakenSlowRC, C[15], notBrTaken);
ME_NMUX2B_B sp_3 (ControlForRCPos, BrTakenSlowRC, C[15], notBrTakenMIS);

endmodule
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Aug 19 12:00:34 1999
From: ../../../sparc_v8/ssparc/fpu/fp_ctl/rtl/condmux.v

Verilog converted to html by v2html 5.0 (written by Costas Calamvokis).Help