HierarchyFilesModulesSignalsTasksFunctionsHelp
1234

/******************************************************************************/ 
/*                                                                            */ 
/* Copyright (c) 1999 Sun Microsystems, Inc. All rights reserved.             */ 
/*                                                                            */ 
/* The contents of this file are subject to the current version of the Sun    */ 
/* Community Source License, microSPARCII ("the License"). You may not use    */ 
/* this file except in compliance with the License.  You may obtain a copy    */ 
/* of the License by searching for "Sun Community Source License" on the      */ 
/* World Wide Web at http://www.sun.com. See the License for the rights,      */ 
/* obligations, and limitations governing use of the contents of this file.   */ 
/*                                                                            */ 
/* Sun Microsystems, Inc. has intellectual property rights relating to the    */ 
/* technology embodied in these files. In particular, and without limitation, */ 
/* these intellectual property rights may include one or more U.S. patents,   */ 
/* foreign patents, or pending applications.                                  */ 
/*                                                                            */ 
/* Sun, Sun Microsystems, the Sun logo, all Sun-based trademarks and logos,   */ 
/* Solaris, Java and all Java-based trademarks and logos are trademarks or    */ 
/* registered trademarks of Sun Microsystems, Inc. in the United States and   */ 
/* other countries. microSPARC is a trademark or registered trademark of      */ 
/* SPARC International, Inc. All SPARC trademarks are used under license and  */ 
/* are trademarks or registered trademarks of SPARC International, Inc. in    */ 
/* the United States and other countries. Products bearing SPARC trademarks   */ 
/* are based upon an architecture developed by Sun Microsystems, Inc.         */ 
/*                                                                            */ 
/******************************************************************************/ 
/***************************************************************************
****************************************************************************
***
***  Program File:  @(#)me_cells.v
***
****************************************************************************
****************************************************************************/

/*
PRIMATIVES

Latches
Combinational logic gates
Multiplexors
Pads
Clock buffers

MACROS -- use seperate file macros.v

Registers
Wide multiplexors
Bus drivers

Shifters
Alus
Adders

Rams
Roms

*/

/* Latches
ME_LD1  simple d latch, active LOW clock
ME_FD1  fimple ff active on positive clock edge
ME_FD1E FD2 with a data load enable
ME_FD1E2 FD2 with two data inputs, each with is own enable.
FJK1 JK flip flop, pos edge load.
FJK2 JK flip flop, pos edge load, with active low clear.
*/

/* logic Gates
NAND{n} n input nand gate
NOR{n}  n input nor gate
AND{n}  n input and gate
OR{n}   n input or gate
ME_INVA    invertor
ME_BUFF    buffer
ME_DELBUFF buffer with approx 3ns delay
ME_TSBUFF  tristate buffer
ME_XOR2    2 input xor gate
ME_XNOR2   2 input xnor
ME_ADD2    half adder
ME_ADD3    full adder
*/

/* Matrix Gates
ME_AnOmI   And-Or-Invert gates
ME_OnAmI   Or-And-Invert gates
*/

/*  Multiplexors/selectors
MUX{n}   n input multiplexor with seperate selectors
NMUX{n}  n input inverting multiplexor with seperate selectors

MUX{n)B  binary coded selectors.
NMUX{n)B binary coded selectors, inverting

ME_NMUX2BA  as NMUX2B except you must supply true and false of control inputs
*/

/* Pads */


/* MACROS */

/* registers
DREG_{x}_{y} x bit wide register with y inputs. transparent when clock high
FREG_{x}_{y} x bit wide register with y inputs. edge trigger on posedge strobe
MUX_{x}_{y}  x bit wide multiplexor with y inputs
*/

/* function blocks
ALU_{x}      x bit wide ALU
ME_ADD_{x}      x bit wide add/subtract
*/

/* roms and roms
ME_ROM_{x}_{y}  x bit wide rom with y address bits
ME_RAM_{x}_{y}  x bit wide ram with y address bits
ME_RAM3_{x}_{y} x bit wide 3 port ram with y address bits
*/

/* Actual modules */

[Up: DecodeCmpAndNeg dcep4][Up: DecodeCmpAndNeg dcep5][Up: DecodeCmpAndNeg iggypop][Up: FDREG_1Byte f0][Up: FDREG_1Byte f1][Up: FDREG_1Byte f2][Up: FDREG_1Byte f3][Up: FDREG_1Byte f4][Up: FDREG_1Byte f5][Up: FDREG_1Byte f6][Up: FDREG_1Byte f7][Up: sticky s28_reg][Up: sticky s51_reg][Up: carry51 carry28Reg][Up: carry51 carry51Reg][Up: MicrocodeRom scanloadrom_ff][Up: RoundModeLogic rm0f][Up: RoundModeLogic rm1f][Up: SignLogic bsf][Up: fpm_frac rgstrX1][Up: fpm_frac rgstrX2][Up: fpm_frac rgstrX3][Up: ExpShifter err][Up: ShiftDec ma1][Up: ShiftDec ma0][Up: NullExcepLogic rf][Up: NullExcepLogic zrd][Up: SampleReset rs][Up: SampleReset rva][Up: FDREG_1Bit f0][Up: MIptrMultiplexor mib0][Up: MIptrMultiplexor mib1]... (truncated)
module ME_FD1 (cp, d, q, qn);
   input d, cp;
   output q, qn ;

//   N1Z000 gnd(GND);
//   ASFFA dff (.Q(q), .XQ(qn), .D(d), .SM(GND), .SI(GND),  .CK(cp));

   Mflipflop_noop dff (.out(q), .in(d), .clock(cp) );
   assign qn = ~q;

endmodule

[Up: SignDp x1][Up: SignDp x4][Up: SignDp x2][Up: SignDp x3][Up: SignDp rc1][Up: FREG_8bit_s sf0][Up: SignLogic asf][Up: DivLog dv1][Up: DivLog dv2][Up: DivLog dv3][Up: DivLog dv0][Up: LengthLogic crg1][Up: LengthLogic llf][Up: LengthLogic lif][Up: LengthLogic lig][Up: LengthLogic llf0][Up: LengthLogic llf1][Up: LengthLogic llf2][Up: LengthLogic llf3][Up: LengthLogic llf4]
module ME_FD1_B (cp, d, q, qn);
   input d, cp;
   output q, qn ;

//   N1Z000 gnd(GND);
//   ASFFA dff (.Q(q), .XQ(qn), .D(d), .SM(GND), .SI(GND),  .CK(cp));

   Mflipflop_noop dff (.out(q), .in(d), .clock(cp) );
   assign qn = ~q;

endmodule

[Up: rfrw_ctl sgl1_ff][Up: rfrw_ctl sgl2_ff][Up: rfrw_ctl byp1_lo_ff][Up: rfrw_ctl byp1_hi_ff][Up: rfrw_ctl byp2_lo_ff][Up: rfrw_ctl byp2_hi_ff][Up: rfrw_ctl byp3_lo_ff][Up: rfrw_ctl byp3_hi_ff][Up: qcore_ctl fpp_busy_ff][Up: qcore_ctl cancel_ff][Up: qcore_ctl fpp_ld_ff][Up: qcore_ctl fpm_abort_ff][Up: ME_FD1P2 f0][Up: ME_FD1P2 f1][Up: fhold_ctl fccv_ff][Up: fhold_ctl fhold_perf1_ff][Up: fhold_ctl fhold_perf2_ff][Up: stat_ctl exemode_one_ff][Up: stat_ctl exemode_two_ff][Up: ME_FD1P_6 f0][Up: ME_FD1P_6 f1][Up: ME_FD1P_6 f2][Up: ME_FD1P_6 f3][Up: ME_FD1P_6 f4][Up: ME_FD1P_6 f5][Up: fpusas_sig trap_ff]
module ME_FD1P (cp, d, q, qn);	// should be a high drive version of ME_FD1;
   input d, cp;			// for simulation, they are the same.
   output q, qn ;

//   N1Z000 gnd(GND);
//   ASFFA dff (.Q(q), .XQ(qn), .D(d), .SM(GND), .SI(GND),  .CK(cp));

   Mflipflop_noop dff (.out(q), .in(d), .clock(cp) );
   assign qn = ~q;

endmodule

[Up: qcore_ctl fmul_e_ff][Up: qcore_ctl qne_0_ff][Up: qcore_ctl qne_1_ff][Up: qcore_ctl qne_2_ff][Up: qcore_ctl qne_val_0_ff][Up: qcore_ctl qne_val_1_ff][Up: qcore_ctl qne_val_2_ff][Up: qcore_ctl fq_type_0_ff][Up: qcore_ctl fq_type_1_ff][Up: qcore_ctl fq_type_2_ff][Up: qcore_ctl fq_unimp_0_ff][Up: qcore_ctl fq_unimp_1_ff][Up: qcore_ctl fq_unimp_2_ff][Up: qcore_ctl fq_rd_dbl_0_ff][Up: qcore_ctl fq_rd_dbl_1_ff][Up: qcore_ctl fq_rd_dbl_2_ff][Up: qcore_ctl fq_start_0_ff][Up: qcore_ctl fq_start_1_ff][Up: qcore_ctl fq_start_2_ff][Up: qcore_ctl fpm_res_ff][Up: fhold_ctl finst_e_ff][Up: fhold_ctl fop_e_ff][Up: fhold_ctl ldreg_e_ff][Up: fhold_ctl ldreg_w_ff][Up: fhold_ctl rs1dbl_e_ff][Up: fhold_ctl rs1used_e_ff][Up: fhold_ctl rddbl_e_ff][Up: fhold_ctl rdused_e_ff][Up: fhold_ctl unimp_e_ff][Up: stat_ctl finst_ff_e][Up: stat_ctl finst_ff_w][Up: stat_ctl noqne_ff]... (truncated)
module ME_FDS2LP (d, cp, cr, ld, q, qn);
// ld is active high, cr is active low
   input d, cp, cr, ld;
   output q, qn;
   
//    N1Z000 gnd(GND);
//    wire hold;
//    JINVA i0  ( .O(hold), .A(ld) );
//    ASFFRHA dff ( .Q(q), .XQ(qn), .D(d), .SM(GND), .SI(GND),
//		 .H(hold), .R(cr), .CK(cp) ) ;

   wire load_l;
   assign load_l = ~ld;
   Mflipflop_rh dff (.out(q), .in(d), .enable_l(load_l), .reset_l(cr), .clock(cp));
   assign qn = ~q;

endmodule

[Up: FREG_1Bit f0][Up: FREG_5bit f0][Up: FREG_S_5bit f0][Up: fpm_frac dblReg][Up: fpm_frac inxReg][Up: FREG_1Byte f0][Up: FREG_1Byte f1][Up: FREG_1Byte f2][Up: FREG_1Byte f3][Up: FREG_1Byte f4][Up: FREG_1Byte f5][Up: FREG_1Byte f6][Up: FREG_1Byte f7][Up: sign signX2Reg][Up: FREG_2bit f0][Up: FREG_4Bit f0][Up: FREG_S_4Bit f0][Up: ImplementedCheck ggh1][Up: ImplementedCheck ni][Up: FREG_8bit f0][Up: fpm_exp unfinReg]
module ME_FD1E (cp, te, d, q, qn);
// like FD1 but with enable 
// note enable is active high
   input d, cp, te;
   output q, qn ;

//   N1Z000 gnd(GND);
//   wire hold;
//   JINVA i0  ( .O(hold), .A(te) );
//   ASFFHA  dff ( .Q(q), .XQ(qn), .D(d), .SM(GND), .SI(GND),
//		.H(hold), .CK(cp)) ;

   wire load_l;
   assign load_l = ~te;
   Mflipflop dff (.out(q), .in(d), .clock(cp), .enable_l(load_l));
   assign qn = ~q;

endmodule

[Up: FREG_8bit_s f0][Up: FREG_8bit_s f1][Up: MicrocodeRom romor540][Up: MicrocodeRom romor551][Up: MicrocodeRom romor542][Up: MicrocodeRom romor553][Up: MicrocodeRom romor554][Up: MicrocodeRom romor555][Up: MicrocodeRom romor556][Up: MicrocodeRom romor557]
module ME_FD1E_B (cp, te, d, q, qn);
// like FD1 but with enable 
// note enable is active high
   input d, cp, te;
   output q, qn ;

//   N1Z000 gnd(GND);
//   wire hold;
//   JINVA i0 ( .O(hold), .A(te) );
//   ASFFHA dff ( .Q(q), .XQ(qn), .D(d), .SM(GND), .SI(GND),
//		.H(hold), .CK(cp)) ;

   wire load_l;
   assign load_l = ~te;
   Mflipflop dff (.out(q), .in(d), .clock(cp), .enable_l(load_l));
   assign qn = ~q;

endmodule

[Up: rfrw_ctl cuthold][Up: qcore_ctl fpm_st_ff][Up: qcore_ctl fpm_x1_ff][Up: qcore_ctl fpm_x2_ff][Up: qcore_ctl fpm_x3_ff][Up: fhold_ctl held_stdfq_ff][Up: fhold_ctl fhold_ff][Up: stat_ctl exemode_ff][Up: stat_ctl penmode_ff][Up: stat_ctl excmode_ff][Up: stat_ctl fexc_ff]
module ME_FD1R (d, cp, cr, q, qn);
// CR (reset) is active low
   input d, cp, cr;
   output q, qn;
   
//    N1Z000 gnd(GND);
//    ASFFRA dff  ( .Q(q), .XQ(qn), .D(d), .SM(GND), .SI(GND),
//		 .R(cr), .CK(cp) ) ;

   Mflipflop_r dff (.out(q), .in(d), .reset_l(cr), .clock(cp));
   assign qn = ~q;

endmodule

// Logic Gates
[Up: acell10 g30][Up: acell10 g21][Up: acell16 g16][Up: acell16 g17][Up: acell16 g19][Up: acell16 g22][Up: acell16 g23][Up: acell16 g24][Up: notonesch i1][Up: acell1a g3][Up: acell1a g5][Up: StickyPairNC g31][Up: acell4a g15][Up: acell4a g16][Up: acell4a g18][Up: acell4a g19][Up: acell4a g22][Up: acell4a g23][Up: FREG_8bit_s i0][Up: FREG_8bit_s i1][Up: AdderLSBlog g13][Up: acell1 g3][Up: acell1 g5][Up: acell4 g16][Up: acell4 g17][Up: acell4 g19][Up: acell4 g22][Up: acell4 g23][Up: SignLogic salc][Up: SignLogic sblc][Up: SignLogic sbe2][Up: ExpShifter g16]... (truncated)
module ME_INV_A (a, x); // Meanest gate
   input a;
   output x;
   JINVA i (.O(x), .A(a) );
endmodule

[Up: DecodeCmpAndNeg dcep1][Up: DecodeCmpAndNeg dcep9][Up: DecodeCmpAndNeg dcep6][Up: ExpConstantCtl z05][Up: CondMux h_1][Up: CondMux vc_2][Up: StickyPairNC g27][Up: BregLoadCtl iopl][Up: AdderLSBlog g17][Up: acell4 g15][Up: RoundModeLogic cmpbits][Up: ME_MUX_2B_11 i0][Up: ExpShifter g33][Up: fp_frac ifs][Up: NullExcepLogic g_0][Up: NullExcepLogic yyc][Up: NullExcepLogic yye][Up: StickyPairNCI g31][Up: StickyPairNCI g27][Up: adder13 s1][Up: ShiftRightCtl g86][Up: ShiftRightCtl g89][Up: ShiftRightCtl g90][Up: YMuxCtl i00][Up: YMuxCtl i10][Up: AregLoadCtl iopl][Up: AregLoadCtl alcn7][Up: AregLoadCtl alcn8][Up: ExpRegLoadCtl iopl][Up: stat_ctl g0][Up: stat_ctl g1][Up: stat_ctl g2]... (truncated)
module ME_INVA (a, x);
   input a;
   output x;
   JINVA i (.O(x), .A(a) );
endmodule

[Up: ME_FREGA_1_52 m20][Up: ME_FREGA_1_54 m20][Up: ME_FREGA_1_58 m20][Up: ME_FREGA_1_64 m20][Up: EntryCheck i_0][Up: EntryCheck i_1][Up: EntryCheck i_2][Up: EntryCheck i_3][Up: EntryCheck i_4][Up: EntryCheck i_5][Up: EntryCheck i_6][Up: EntryCheck i_7][Up: acell10 g15][Up: acell16 g15][Up: SignDp rlokout][Up: ME_FREGA_5_58 ie1][Up: ME_FREGA_5_58 ia0][Up: ME_FREGA_5_58 ib0][Up: ME_FREGA_5_58 ic0][Up: ME_NMUX_2B_57 g10][Up: ME_NMUX_2B_58 g10][Up: FREG_5bit b0][Up: FREG_8bit_s b0][Up: FREG_S_5bit b0][Up: ME_MUX_2B_13 g12][Up: ME_MUX_2B_32 g10][Up: ME_MUX_2B_B_13 g12][Up: ExpShifter g19][Up: ME_MUX_2B_52 g10][Up: ME_MUX_2B_58 g10][Up: ME_MUX_2B_B_58 g10][Up: ME_FREGA_2_58 ia1]... (truncated)
module ME_INV_B (a, x);
   input a;
   output x;
   JINVB i (.O(x), .A(a) );
endmodule

[Up: ME_FREGA_1_52 m21][Up: ME_FREGA_1_52 m22][Up: ME_FREGA_1_54 m21][Up: ME_FREGA_1_54 m22][Up: ME_FREGA_1_58 m21][Up: ME_FREGA_1_58 m22][Up: ME_FREGA_1_64 m21][Up: ME_FREGA_1_64 m22][Up: ME_FREGA_5_58 ie2][Up: ME_FREGA_5_58 ie3][Up: ME_FREGA_5_58 ia1][Up: ME_FREGA_5_58 ia2][Up: ME_FREGA_5_58 ia3][Up: ME_FREGA_5_58 ib1][Up: ME_FREGA_5_58 ib2][Up: ME_FREGA_5_58 ic1][Up: ME_FREGA_5_58 ic2][Up: ME_NMUX_2B_57 g11][Up: ME_NMUX_2B_57 g12][Up: ME_NMUX_2B_57 g13][Up: ME_NMUX_2B_57 g14][Up: ME_NMUX_2B_58 g11][Up: ME_NMUX_2B_58 g12][Up: ME_NMUX_2B_58 g13][Up: ME_NMUX_2B_58 g14][Up: ME_MUX_2B_32 g11][Up: ME_MUX_2B_32 g12][Up: ME_MUX_2B_52 g11][Up: ME_MUX_2B_52 g12][Up: ME_MUX_2B_58 g11][Up: ME_MUX_2B_58 g12][Up: ME_MUX_2B_58 g13]... (truncated)
module ME_INV_C (a, x);
   input a;
   output x;
   JINVC i (.O(x), .A(a) );
endmodule

[Up: SignDp rvo4][Up: ME_YmuxSlice b1][Up: ME_YmuxSlice b2][Up: ME_YmuxSlice b3][Up: ME_YmuxSlice b7][Up: ME_YmuxSlice b8][Up: ME_YmuxSlice b9]
module ME_INV_D (a, x);
   input a;
   output x;
   JINVD i (.O(x), .A(a) );
endmodule

[Up: ME_INV_A_58 h0][Up: ME_INV_A_58 h2][Up: ME_INV_A_58 h3][Up: ME_INV_A_58 h4][Up: ME_INV_A_58 h5]
module ME_INV_A_10 (A, Z);
   input [9:0] A;
   output [9:0] Z;

JINVA g0 (.O(Z[0]), .A(A[0]) );
JINVA g1 (.O(Z[1]), .A(A[1]) );
JINVA g2 (.O(Z[2]), .A(A[2]) );
JINVA g3 (.O(Z[3]), .A(A[3]) );
JINVA g4 (.O(Z[4]), .A(A[4]) );
JINVA g5 (.O(Z[5]), .A(A[5]) );
JINVA g6 (.O(Z[6]), .A(A[6]) );
JINVA g7 (.O(Z[7]), .A(A[7]) );
JINVA g8 (.O(Z[8]), .A(A[8]) );
JINVA g9 (.O(Z[9]), .A(A[9]) );

endmodule

[Up: ShiftLeft g27]
module ME_INV_A_58 (A, Z);
   input [57:0] A;
   output [57:0] Z;

   ME_INV_A_10 h0 (A[9:0], Z[9:0]);
   ME_INV_A_10 h2 (A[19:10], Z[19:10]);
   ME_INV_A_10 h3 (A[29:20], Z[29:20]);
   ME_INV_A_10 h4 (A[39:30], Z[39:30]);
   ME_INV_A_10 h5 (A[49:40], Z[49:40]);
   ME_INV_A    g0 (A[50], Z[50]);
   ME_INV_A    g1 (A[51], Z[51]);
   ME_INV_A    g2 (A[52], Z[52]);
   ME_INV_A    g3 (A[53], Z[53]);
   ME_INV_A    g4 (A[54], Z[54]);
   ME_INV_A    g5 (A[55], Z[55]);
   ME_INV_A    g6 (A[56], Z[56]);
   ME_INV_A    g7 (A[57], Z[57]);

endmodule

[Up: ME_MUX_2B_11 b1][Up: ME_MUX_2B_11 b2][Up: ME_MUX_2B_13 g10][Up: ME_MUX_2B_B_13 g10][Up: stat_ctl b0][Up: carrysaveregslsb g00][Up: carrysaveregslsb g02][Up: ME_MUX_2B_2 g10][Up: ME_MUX_2B_3 g10][Up: ME_MUX_2B_4 g10][Up: ME_MUX_2B_5 g10][Up: ME_MUX_2B_9 g10][Up: CregLoadCtl g00]
module ME_BUFF (a, x);
   input a;
   output x;
   JBUFC i (.O(x), .A(a) );
endmodule

[Up: acell1a g6][Up: acell1 g6][Up: ME_MUX_2B_64 g10][Up: ME_MUX_4B_10 g11][Up: ME_MUX_4B_13 g11][Up: MISelect mie0][Up: ME_FREGA_1_11 m20][Up: ME_FREGA_1_13 m20]
module ME_BUF_B (a, x); // Same as ME_BUFF
   input a;
   output x;
   JBUFD i (.O(x), .A(a) );
endmodule

[Up: ME_FREGA_S_4_13 m20][Up: ME_FREGA_S_4_13 m21][Up: ME_FREGA_S_4_13 m22][Up: ME_MUX_2B_64 g11][Up: ME_MUX_2B_64 g12][Up: ME_MUX_4B_10 g10][Up: ME_MUX_4B_13 g10][Up: ME_FREGA_4_13 m20][Up: ME_FREGA_4_13 m21][Up: ME_FREGA_4_13 m22][Up: ME_MUX3_25 b0][Up: ME_MUX3_25 b1][Up: ME_MUX3_25 b2][Up: ME_MUX3_25 b3][Up: ME_BUF3_4 i0][Up: ME_BUF3_4 i1][Up: ME_BUF3_4 i2][Up: ME_BUF3_4 i3][Up: ME_MUX3_53 b0][Up: ME_MUX3_53 b1][Up: ME_MUX3_53 b2][Up: ME_MUX3_53 b3][Up: ME_MUX3_53 b4][Up: ME_MUX3_53 b5][Up: ME_MUX3_53 b6][Up: ME_MUX3_53 b7][Up: ME_MUX41H28 b0][Up: ME_MUX41H28 b1][Up: ME_MUX41H28 b2][Up: ME_MUX41H28 b3][Up: ME_MUX41H32 b0][Up: ME_MUX41H32 b1]... (truncated)
module ME_BUF_C (a, x); // Same as ME_BUFF
   input a;
   output x;
   JBUFD i (.O(x), .A(a) );
endmodule

[Up: ME_MUX21H23 b10][Up: ME_MUX21H25 b10][Up: ME_MUX21H30 b10][Up: ME_MUX21H32 b10][Up: ME_MUX21H53 b10]
module ME_BUF_D (a, x); // Same as ME_BUFF
   input a;
   output x;
   JBUFE i (.O(x), .A(a) );
endmodule

module ME_BUF3_4 ( a, x );
   input [3:0] a;
   output [3:0] x;

   ME_BUF_C i0 (a[0], x[0]);
   ME_BUF_C i1 (a[1], x[1]);
   ME_BUF_C i2 (a[2], x[2]);
   ME_BUF_C i3 (a[3], x[3]);
endmodule

[Up: fp_qst fpst_buff1][Up: fp_qst fpst_buff0]
module ME_BUF32_C ( a, x );
   input  [31:0] a;
   output [31:0] x;
   JBUFC i0  (.O(x[0]), .A(a[0]) );
   JBUFC i1  (.O(x[1]), .A(a[1]) );
   JBUFC i2  (.O(x[2]), .A(a[2]) );
   JBUFC i3  (.O(x[3]), .A(a[3]) );
   JBUFC i4  (.O(x[4]), .A(a[4]) );
   JBUFC i5  (.O(x[5]), .A(a[5]) );
   JBUFC i6  (.O(x[6]), .A(a[6]) );
   JBUFC i7  (.O(x[7]), .A(a[7]) );
   JBUFC i8  (.O(x[8]), .A(a[8]) );
   JBUFC i9  (.O(x[9]), .A(a[9]) );
   JBUFC i10 (.O(x[10]), .A(a[10]) );
   JBUFC i11 (.O(x[11]), .A(a[11]) );
   JBUFC i12 (.O(x[12]), .A(a[12]) );
   JBUFC i13 (.O(x[13]), .A(a[13]) );
   JBUFC i14 (.O(x[14]), .A(a[14]) );
   JBUFC i15 (.O(x[15]), .A(a[15]) );
   JBUFC i16 (.O(x[16]), .A(a[16]) );
   JBUFC i17 (.O(x[17]), .A(a[17]) );
   JBUFC i18 (.O(x[18]), .A(a[18]) );
   JBUFC i19 (.O(x[19]), .A(a[19]) );
   JBUFC i20 (.O(x[20]), .A(a[20]) );
   JBUFC i21 (.O(x[21]), .A(a[21]) );
   JBUFC i22 (.O(x[22]), .A(a[22]) );
   JBUFC i23 (.O(x[23]), .A(a[23]) );
   JBUFC i24 (.O(x[24]), .A(a[24]) );
   JBUFC i25 (.O(x[25]), .A(a[25]) );
   JBUFC i26 (.O(x[26]), .A(a[26]) );
   JBUFC i27 (.O(x[27]), .A(a[27]) );
   JBUFC i28 (.O(x[28]), .A(a[28]) );
   JBUFC i29 (.O(x[29]), .A(a[29]) );
   JBUFC i30 (.O(x[30]), .A(a[30]) );
   JBUFC i31 (.O(x[31]), .A(a[31]) );
endmodule

[Up: DecodeCmpAndNeg dcep2][Up: DecodeCmpAndNeg dcep3][Up: EntryCheck g_3][Up: EntryCheck h_4][Up: EntryCheck h_6][Up: EntryCheck j_3][Up: CondMux vc_3][Up: acell10 g10][Up: acell16 g10][Up: acell16 g11][Up: SignDp rg4][Up: SignDp rzz1s][Up: SignDp rv2][Up: SignDp rv3][Up: StickyPairNC g22][Up: StickyPairNC g14][Up: StickyPairNC g32][Up: acell4a g10][Up: acell4a g11][Up: MIptr om16][Up: acell4 g10][Up: acell4 g11][Up: SignLogic sae1][Up: ExpShifter g15][Up: ExpShifter g32][Up: NullExcepLogic re][Up: NullExcepLogic g_1][Up: NullExcepLogic exg1][Up: NullExcepLogic exg2][Up: NullExcepLogic eag2][Up: NullExcepLogic eag5][Up: DecodeStatus b5]... (truncated)
module ME_NAND2 (a, b, z);
   input a, b;
   output z;
   JNAND2A i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: ExpConstantCtl z07][Up: SignDp rv03][Up: SignDp rvo2][Up: SignDp rvo1][Up: notonesch i2][Up: NullExcepLogic yyd][Up: StickyPairNCI g32][Up: adder13 g14][Up: adder13 g16][Up: adder58 g14][Up: adder58 g16][Up: onesch_sp i2][Up: onesch_sp i3][Up: onesch_sp r5][Up: MulSelCtl nzr8][Up: ImplementedCheck g_3][Up: SampledWaitCtl sweb]
module ME_NAND2_B (a, b, z);
   input a, b;
   output z;
   JNAND2B i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: EntryCheck g_6][Up: EntryCheck g_9][Up: EntryCheck h_2][Up: EntryCheck h_8][Up: EntryCheck h_9][Up: acell10 g20][Up: acell16 g21][Up: StickyPairNC g20][Up: StickyPairNC g21][Up: StickyPairNC g23][Up: StickyPairNC g24][Up: acell4a g21][Up: acell4 g21][Up: SignLogic sbe][Up: ExpShifter g12][Up: ShiftDec g20][Up: DecodeStatus p1][Up: DecodeStatus p2][Up: DecodeStatus p3][Up: StickyPairNCI g20][Up: StickyPairNCI g21][Up: StickyPairNCI g23][Up: ShiftRightCtl g13][Up: AregInexact aig13][Up: MISelect g4_0][Up: MulSelCtl nzr6][Up: DivLog qb1t0][Up: DivLog qb1t3][Up: DivLog qb1t4][Up: ImplementedCheck ggh4][Up: ImplementedCheck ddfd][Up: Exception g12]... (truncated)
module ME_NAND3 (a, b, c, z);
   input a, b, c;
   output z ;
   JNAND3A i (.O(z), .A1(a), .A2(b), .A3(c) );
endmodule

[Up: ExpConstantCtl z06][Up: StickyPairNCI g25][Up: PreventSwapCtl psg4][Up: CaseGeneration nrc][Up: MISelect g0_0][Up: ResultException g23][Up: ResultException g22]
module ME_NAND3_B (a, b, c, z);
   input a, b, c;
   output z ;
   JNAND3B i (.O(z), .A1(a), .A2(b), .A3(c) );
endmodule

[Up: EntryCheck g_4][Up: EntryCheck j_1][Up: twosch_top m5][Up: AregInexactSlice ai27][Up: AregInexactSlice ai17][Up: DecodeStatus p0][Up: ShiftRightCtl g81][Up: YMuxCtl ymlsbs4][Up: YMuxCtl ymlsbs8][Up: PreventSwapCtl psg5][Up: DivLog ds10][Up: DivLog ds11][Up: DivLog ds20][Up: DivLog ds21][Up: DivLog ds22][Up: DivLog ds23][Up: DivLog ds2m][Up: DivLog ds31][Up: DivLog ds33][Up: DivLog ds3m]
module ME_NAND4 (a, b, c, d, z);
   input a, b, c, d;
   output z ;
   JNAND4A i (.O(z), .A1(a), .A2(b), .A3(c), .A4(d) );
endmodule

module ME_NAND5 (a, b, c, d, e, z);
   input a, b, c, d, e;
   output z ;
   ANAND5C i (.O(z), .A1(a), .A2(b), .A3(c), .A4(d), .A5(e) );
endmodule

[Up: ExpShifter gxz]
module ME_NAND6_B (a, b, c, d, e, f, z);
   input a, b, c, d, e, f;
   output z ;
   JNAND6C i (.O(z), .A1(a), .A2(b), .A3(c), .A4(d), .A5(e), .A6(f) );
endmodule

module ME_NAND8 (a, b, c, d, e, f, g, h, z);
   input a, b, c, d, e, f, g, h ;
   output z ;
   JNAND8C i (.O(z), .A1(a), .A2(b), .A3(c), .A4(d), .A5(e), .A6(f),
		.A7(g), .A8(h) );
endmodule

[Up: CheckOverflow g10]
module ME_NAND8_B (a, b, c, d, e, f, g, h, z);
   input a, b, c, d, e, f, g, h ;
   output z ;
   JNAND8C i (.O(z), .A1(a), .A2(b), .A3(c), .A4(d), .A5(e), .A6(f),
		.A7(g), .A8(h) );
endmodule

// AND gates
[Up: TregLoadCtl ftlt][Up: EntryCheck g_8][Up: EntryCheck h_1][Up: CondMux c_2][Up: CondMux c_3][Up: CondMux c_4][Up: CondMux sp_1][Up: SignDp v2][Up: BregLoadCtl ssg81][Up: BregLoadCtl ssg80][Up: BregLoadCtl alcn3][Up: BregLoadCtl alcn2][Up: BregLoadCtl alcn1][Up: BregLoadCtl alcni][Up: RoundModeLogic rmcmp1][Up: RoundModeLogic rmc][Up: ExpShifter gr5][Up: NullExcepLogic rb][Up: NullExcepLogic eag1][Up: DecodeStatus b1][Up: adder13 g20][Up: adder13 g21][Up: ShiftRightCtl g12][Up: AregLoadCtl alcn1][Up: AregLoadCtl alcn2][Up: AregLoadCtl alcn3][Up: AregLoadCtl alcni][Up: ExpRegLoadCtl alcn1][Up: ExpRegLoadCtl alcn2][Up: CaseGeneration ril][Up: adder58 g20][Up: adder58 g21]... (truncated)
module ME_AND2 (a, b, z);
   input a, b;
   output z;
   JAND2B i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: ExpConstantCtl z01][Up: ExpConstantCtl z02][Up: CondMux c_0][Up: CondMux c_1][Up: SignDp s1][Up: SignDp s3][Up: SignDp s4][Up: notonesch i3][Up: notonesch r4][Up: notonesch r5][Up: CS_byte g02][Up: CS_byte g12][Up: CS_byte g22][Up: CS_byte g32][Up: CS_byte g42][Up: CS_byte g52][Up: CS_byte g62][Up: CS_byte g72][Up: CS_bit g12][Up: RoundModeLogic fgf][Up: ExpShifter a90][Up: NullExcepLogic cko][Up: CaseGeneration ris]
module ME_AND2_B (a, b, z);
   input a, b;
   output z;
   JAND2B i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: DecodeStatus b0][Up: DecodeStatus b3][Up: DecodeStatus b4][Up: ShiftRightCtl g88][Up: AregLoadCtl alcnf][Up: CaseGeneration cqsb][Up: SampledWaitCtl g1_0][Up: CregLoadCtl fg1d][Up: CregLoadCtl fg0d]
module ME_AND3 (a, b, c, z);
   input a, b, c;
   output z;
   JAND3B i (.O(z), .A1(a), .A2(b), .A3(c) );
endmodule

[Up: ExpConstantCtl z00][Up: CondMux h_0][Up: SignDp s2][Up: YMuxCtl fs3][Up: AregLoadCtl alcnh][Up: Exception g20][Up: ResultException g20]
module ME_AND3_B (a, b, c, z);
   input a, b, c;
   output z;
   JAND3B i (.O(z), .A1(a), .A2(b), .A3(c) );
endmodule

[Up: DecodeCmpAndNeg dcep7][Up: EntryCheck j_2][Up: CondMux sp_0][Up: NullExcepLogic ssss][Up: DecodeStatus b2][Up: MISelect g4_6][Up: MISelect ggh7][Up: ResultException zzd]
module ME_AND4 (a, b, c, d, z);
   input a, b, c, d;
   output z;
   JAND4B i (.O(z), .A1(a), .A2(b), .A3(c), .A4(d) );
endmodule

[Up: acell10 g27][Up: acell16 g27][Up: acell4a g26][Up: acell4 g26][Up: ResultException zze][Up: ResultException g24]
module ME_AND4_B (a, b, c, d, z);
   input a, b, c, d;
   output z;
   JAND4B i (.O(z), .A1(a), .A2(b), .A3(c), .A4(d) );
endmodule

module ME_AND7 ( a1, a2, a3, a4, a5, a6, a7, z );
   input a1, a2, a3, a4, a5, a6, a7 ;
   output z ;
   wire x1, x2;
   JNAND3A i0 (.O(x1), .A1(a1), .A2(a2), .A3(a3) );
   JNAND4A i1 (.O(x2), .A1(a4), .A2(a5), .A3(a6), .A4(a7) );
   JNOR2A i2 (.O(z), .A1(x1), .A2(x2) );
endmodule

[Up: Exception zxd]
module ME_AND8 (a, b, c, d, e, f, g, h, z);
   input a, b, c, d, e, f, g, h ;
   output z ;
   JAND8B i (.O(z), .A1(a), .A2(b), .A3(c), .A4(d), .A5(e), .A6(f),
		.A7(g), .A8(h) );
endmodule

// nor gates
[Up: DecodeCmpAndNeg decep8][Up: EntryCheck g_2][Up: EntryCheck h_7][Up: SignDp v3][Up: AregInexactSlice aig5][Up: AregInexactSlice aizz][Up: SignLogic stg7][Up: SignLogic stg8][Up: SignLogic stg9][Up: SignLogic stgA][Up: SignLogic stgB][Up: qcore_ctl iu_hold_gate_14][Up: ExpShifter sby8][Up: onesch i2][Up: SampledWaitCtl swmzs][Up: CregLoadCtl icr][Up: CregLoadCtl rct][Up: LengthLogic cllr]
module ME_NOR2 (a, b, z);
   input a, b ;
   output z;
   JNOR2A i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: qcore_ctl iu_hold_gate_1][Up: qcore_ctl iu_hold_gate_2][Up: qcore_ctl iu_hold_gate_3][Up: onesch r4][Up: onesch r5]
module ME_NOR2_B (a, b, z);
   input a, b ;
   output z;
   JNOR2C i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: ME_FREGA_2_55 iu_hold_gate_2]
module ME_NOR2_D (a, b, z);
   input a, b ;
   output z;
   JNOR2D i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: EntryCheck g_1][Up: EntryCheck g_0][Up: EntryCheck h_3][Up: EntryCheck h_5][Up: AregInexactSlice aig0][Up: AregInexactSlice aig6][Up: RoundModeLogic rmcmpe1][Up: RoundModeLogic rmcmpe0][Up: qcore_ctl iu_hold_gate_33][Up: qcore_ctl iu_hold_gate_36]
module ME_NOR3 (a, b, c, z);
   input a, b, c ;
   output z;
   JNOR3C i (.O(z), .A1(a), .A2(b), .A3(c) );
endmodule

[Up: EntryCheck g_7][Up: AregInexactSlice ai54][Up: AregInexactSlice ai44][Up: AregInexactSlice ai34][Up: AregInexactSlice ai24][Up: AregInexactSlice ai14][Up: AregInexactSlice ai04][Up: qcore_ctl iu_hold_gate_27]
module ME_NOR4 (a, b, c, d, z);
   input a, b, c, d ;
   output z;
   JNOR4B i (.O(z), .A1(a), .A2(b), .A3(c), .A4(d) );
endmodule

module ME_NOR6_B (a, b, c, d, e, f, z);
   input a, b, c, d, e, f ;
   output z;
   JNOR6C i (.O(z), .A1(a), .A2(b), .A3(c), .A4(d), .A5(e), .A6(f) );
endmodule

[Up: SignDp rc0][Up: MicrocodeRom Uscanloadrom][Up: MIptr om2n6][Up: SignLogic orr][Up: SignLogic gbdf][Up: SignLogic codie][Up: NullExcepLogic eag3][Up: NullExcepLogic yyy][Up: ShiftRightCtl g85][Up: SampleReset cva][Up: onesch i3][Up: ImplementedCheck idg1][Up: ImplementedCheck idg4][Up: ImplementedCheck ggh3][Up: ImplementedCheck icor][Up: SampledWaitCtl swmis][Up: AregLSBLog v1]
module ME_OR2 (a, b, z);
   input a, b ;
   output z;
   JOR2B i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: ExpConstantCtl z03][Up: ExpConstantCtl z04][Up: fp_qst iu_hold_gate_1][Up: fp_qst iu_hold_gate_2][Up: fp_qst iu_hold_gate_3][Up: fp_qst iu_hold_gate_4][Up: StickyPairNC g13][Up: RoundModeLogic fgfo][Up: NullExcepLogic exg3][Up: NullExcepLogic yyb][Up: StickyPairNCI g13][Up: stat_ctl iu_hold_gate_8][Up: ShiftRight h01][Up: ShiftRight h02][Up: ShiftRight h03][Up: ShiftRight h04][Up: ShiftRight h05][Up: ShiftRight h06][Up: ShiftRight h07][Up: ShiftRight h08][Up: ShiftRight h09][Up: ShiftRight h10][Up: ShiftRight h11][Up: ShiftRight h12][Up: ShiftRight h13][Up: ShiftRight h14][Up: ShiftRight h15][Up: ShiftRight h16][Up: ShiftRight h17][Up: ShiftRight h18][Up: ShiftRight h19][Up: ShiftRight h20]... (truncated)
module ME_OR2_B (a, b, z);
   input a, b ;
   output z;
   JOR2B i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: ExpRegLoadCtl alcne][Up: Exception zxe][Up: LengthLogic ell]
module ME_OR3 (a, b, c, z);
   input a, b, c ;
   output z;
   JOR3B i (.O(z), .A1(a), .A2(b), .A3(c) );
endmodule

[Up: MulSelCtl nzr5][Up: CheckOverflow srgx][Up: ResultException zzf]
module ME_OR3_B (a, b, c, z);
   input a, b, c ;
   output z;
   JOR3B i (.O(z), .A1(a), .A2(b), .A3(c) );
endmodule

[Up: BregLoadCtl alcne][Up: SignLogic ggdf][Up: AregLoadCtl alcne]
module ME_OR4 (a, b, c, d, z);
   input a, b, c, d ;
   output z;
   JOR4B i (.O(z), .A1(a), .A2(b), .A3(c), .A4(d) );
endmodule

module ME_OR6 (a, b, c, d, e, f, X);
   input a, b, c, d, e, f ;
   output X ;
   JOR6B i (.O(X), .A1(a), .A2(b), .A3(c), .A4(d), .A5(e), .A6(f) );
endmodule

[Up: ShiftRightCtl g80]
module ME_OR8 (a, b, c, d, e, f, g, h, X);
   input a, b, c, d, e, f, g, h ;
   output X ;
   JOR8B i (.O(X), .A1(a), .A2(b), .A3(c), .A4(d), .A5(e), .A6(f),
		.A7(g), .A8(h) );
endmodule

[Up: Exception g10]
module ME_OR11 (a, b, c, d, e, f, g, h, i, j, k, X);
   input a, b, c, d, e, f, g, h, i, j, k;
   output X ;
   wire x0, x1;
   JNOR8C u0 (.O(x0), .A1(a), .A2(b), .A3(c), .A4(d), .A5(e), .A6(f),
		.A7(g), .A8(h) );
   JNOR3A u1 (.O(x1), .A1(i), .A2(j), .A3(k) );
   JNAND2A u2 (.O(X), .A1(x0), .A2(x1) );
endmodule

module ME_OR12 (a, b, c, d, e, f, g, h, i, j, k, l, X);
   input a, b, c, d, e, f, g, h, i, j, k, l;
   output X ;
   wire x0, x1;
   JNOR8C u0 (.O(x0), .A1(a), .A2(b), .A3(c), .A4(d), .A5(e), .A6(f),
		.A7(g), .A8(h) );
   JNOR4B u1 (.O(x1), .A1(i), .A2(j), .A3(k), .A4(l) );
   JNAND2A u2 (.O(X), .A1(x0), .A2(x1) );
endmodule

module ME_XNOR2 (a, b, z);
   input a, b;
   output z;
   JXNOR2A i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: StickyPairNC g10][Up: StickyPairNC g11][Up: CS_byte g00][Up: CS_byte g10][Up: CS_byte g20][Up: CS_byte g30][Up: CS_byte g40][Up: CS_byte g50][Up: CS_byte g60][Up: CS_byte g70][Up: CS_bit g10][Up: SignLogic u0_v1][Up: SignLogic u0_v2][Up: StickyPairNCI g10][Up: StickyPairNCI g11]
module ME_XNOR2_B (a, b, z);
   input a, b;
   output z;
   JXNOR2B i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: EntryCheck g_5][Up: SignLogic xorabs][Up: SignLogic xorop][Up: MiptrIncrement ha_7][Up: ImplementedCheck idg3]
module ME_XOR2 (a, b, z);
   input a, b;
   output z;
   JXOR2A i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: CondMux vd_0][Up: MulSelCtl xnr7]
module ME_XOR2_B (a, b, z);
   input a, b;
   output z;
   JXOR2B i (.O(z), .A1(a), .A2(b) );
endmodule

[Up: acell1a g1][Up: StickyPairNC g26][Up: acell1 g1][Up: StickyPairNCI g26]
module ME_XOR3_B (a, b, c, z);
   input a, b, c;
   output z;
   JXOR3A i (.O(z), .A1(a), .A2(b), .A3(c) );
endmodule

// Matrix gates

[Up: rfrw_ctl iu_hold_gate_4][Up: rfrw_ctl iu_hold_gate_5][Up: qcore_ctl iu_hold_gate_9][Up: qcore_ctl iu_hold_gate_10][Up: qcore_ctl iu_hold_gate_11][Up: qcore_ctl iu_hold_gate_22][Up: qcore_ctl iu_hold_gate_23]
module ME_A2O1_B (a, b, c, z);			// z = (a&b) | c
   input a, b, c;
   output z;
   JDB21A i (.O(z), .A1(a), .A2(b), .B(c) );
endmodule

[Up: rfrw_ctl iu_hold_gate_6][Up: rfrw_ctl iu_hold_gate_7][Up: rfrw_ctl iu_hold_gate_8][Up: rfrw_ctl iu_hold_gate_9][Up: rfrw_ctl iu_hold_gate_10][Up: rfrw_ctl iu_hold_gate_11][Up: qcore_ctl iu_hold_gate_7][Up: qcore_ctl iu_hold_gate_8][Up: qcore_ctl iu_hold_gate_15][Up: qcore_ctl iu_hold_gate_16][Up: qcore_ctl iu_hold_gate_17][Up: qcore_ctl iu_hold_gate_12][Up: qcore_ctl iu_hold_gate_13][Up: fhold_ctl iu_hold_gate_3][Up: fhold_ctl iu_hold_gate_4]
module ME_AI2O1_C (a, b, c, z);			// z = (a & ~b) | c
   input a, b, c;
   output z;
   ADBI21C i (.O(z), .A1(a), .A2(b), .B(c) );
endmodule

module ME_AI2O1_D (a, b, c, z);			// z = (a & ~b) | c
   input a, b, c;
   output z;
   ADBI21D i (.O(z), .A1(a), .A2(b), .B(c) );
endmodule

module ME_A22O1_B (a1, a2, b1, b2, c, z);	// z = (a1&a2) | (b1&b2) | c;
   input a1, a2, b1, b2, c;
   output z;
   JDB122A i (.O(z), .A1(a1), .A2(a2), .B1(b1), .B2(b2), .C(c) );
endmodule

[Up: qcore_ctl iu_hold_gate_25][Up: qcore_ctl iu_hold_gate_26][Up: qcore_ctl iu_hold_gate_29][Up: qcore_ctl iu_hold_gate_30][Up: qcore_ctl iu_hold_gate_31][Up: qcore_ctl iu_hold_gate_32][Up: qcore_ctl iu_hold_gate_34][Up: qcore_ctl iu_hold_gate_35][Up: stat_ctl iu_hold_gate_3][Up: stat_ctl iu_hold_gate_4][Up: stat_ctl iu_hold_gate_6]
module ME_AI22O1_C (a1, a2, b1, b2, c, z);	// z= (a1 & ~a2) | (b1&b2) | c;
   input a1, a2, b1, b2, c;
   output z;
   ADBI122C i (.O(z), .A1(a1), .A2(a2), .B1(b1), .B2(b2), .C(c) );
endmodule

module ME_AI22O1_D (a1, a2, b1, b2, c, z);	// z= (a1 & ~a2) | (b1&b2) | c;
   input a1, a2, b1, b2, c;
   output z;
   ADBI122D i (.O(z), .A1(a1), .A2(a2), .B1(b1), .B2(b2), .C(c) );
endmodule

[Up: stat_ctl iu_hold_gate_14]
module ME_AI22O1_C_2 (a1, a2, b1, b2, c, z);
input [1:0] a1, a2, b1, b2, c;
output [1:0] z;

   ADBI122C u0 (.O(z[0]), .A1(a1[0]), .A2(a2[0]), .B1(b1[0]), .B2(b2[0]),
		.C(c[0]) );
   ADBI122C u1 (.O(z[1]), .A1(a1[1]), .A2(a2[1]), .B1(b1[1]), .B2(b2[1]),
		.C(c[1]) );
endmodule

[Up: stat_ctl iu_hold_gate_12][Up: stat_ctl iu_hold_gate_13]
module ME_AI22O1_C_5 (a1, a2, b1, b2, c, z);
input [4:0] a1, a2, b1, b2, c;
output [4:0] z;

   ADBI122C u0 (.O(z[0]), .A1(a1[0]), .A2(a2[0]), .B1(b1[0]), .B2(b2[0]),
		.C(c[0]) );
   ADBI122C u1 (.O(z[1]), .A1(a1[1]), .A2(a2[1]), .B1(b1[1]), .B2(b2[1]),
		.C(c[1]) );
   ADBI122C u2 (.O(z[2]), .A1(a1[2]), .A2(a2[2]), .B1(b1[2]), .B2(b2[2]),
		.C(c[2]) );
   ADBI122C u3 (.O(z[3]), .A1(a1[3]), .A2(a2[3]), .B1(b1[3]), .B2(b2[3]),
		.C(c[3]) );
   ADBI122C u4 (.O(z[4]), .A1(a1[4]), .A2(a2[4]), .B1(b1[4]), .B2(b2[4]),
		.C(c[4]) );
endmodule

module ME_A2O2I (a, b, c, d, z);
   input a, b, c, d;
   output z;
   JD211A u1 (.O(z), .A1(a), .A2(b), .B1(c), .B2(d) );
endmodule

module ME_A2O2I_B (a, b, c, d, z);
   input a, b, c, d;
   output z;
   JD211A u1 (.O(z), .A1(a), .A2(b), .B1(c), .B2(d) );
endmodule

module ME_A22OI (a, b, c, d, z);
   input a, b, c, d;
   output z;
   JD22A i (.O(z), .A1(a), .A2(b), .B1(c), .B2(d) );
endmodule

module ME_A22OI_B (a, b, c, d, z);
   input a, b, c, d;
   output z;
   JD22A i (.O(z), .A1(a), .A2(b), .B1(c), .B2(d) );
endmodule

module ME_O2A2I (a, b, c, d, z);
   input a, b, c, d;
   output z;
   JG112A i (.O(z), .A1(a), .A2(b), .B1(c), .B2(d) );
endmodule

module ME_O2A2I_B (a, b, c, d, z);
   input a, b, c, d;
   output z;
   JG112A i (.O(z), .A1(a), .A2(b), .B1(c), .B2(d) );
endmodule

module ME_O22AI (a, b, c, d, z);
   input a, b, c, d;
   output z;
   JG22A i (.O(z), .A1(a), .A2(b), .B1(c), .B2(d) );
endmodule

module ME_O22AI_B (a, b, c, d, z);
   input a, b, c, d;
   output z;
   JG22A i (.O(z), .A1(a), .A2(b), .B1(c), .B2(d) );
endmodule

module ME_A2O1I (a, b, c, z);
   input a, b, c;
   output z;
   JD21A i (.O(z), .A1(a), .A2(b), .B(c) );
endmodule

module ME_A2O1I_B (a, b, c, z);
   input a, b, c;
   output z;
   JD21A i (.O(z), .A1(a), .A2(b), .B(c) );
endmodule

[Up: qcore_ctl iu_hold_gate_24][Up: qcore_ctl iu_hold_gate_4][Up: qcore_ctl iu_hold_gate_5][Up: qcore_ctl iu_hold_gate_6][Up: qcore_ctl iu_hold_gate_28][Up: qcore_ctl iu_hold_gate_21][Up: qcore_ctl iu_hold_gate_18][Up: qcore_ctl iu_hold_gate_19][Up: qcore_ctl iu_hold_gate_20][Up: ME_FREGA_2_55 iu_hold_gate_1][Up: stat_ctl iu_hold_gate_1][Up: stat_ctl iu_hold_gate_2][Up: stat_ctl iu_hold_gate_7][Up: stat_ctl iu_hold_gate_9][Up: stat_ctl iu_hold_gate_10][Up: stat_ctl iu_hold_gate_11]
module ME_O2A1 (a, b, c, z);
   input a, b, c;
   output z;				// z = (a|b)&c
   JGB12A i (.O(z), .A1(a), .A2(b), .B(c) );
endmodule

[Up: fhold_ctl iu_hold_gate_1][Up: fhold_ctl iu_hold_gate_2]
module ME_O2A1_D (a, b, c, z);
   input a, b, c;
   output z;				// z = (a|b)&c
   AGB12D i (.O(z), .A1(a), .A2(b), .B(c) );
endmodule

[Up: stat_ctl iu_hold_gate_5]
module ME_O22A1 (a1, a2, b1, b2, c, z);
   input a1, a2, b1, b2, c;
   output z;				// z = (a1 | a2) & (b1 | b2) & c
   JGB221A i (.O(z), .A1(a1), .A2(a2), .B1(b1), .B2(b2), .C(c) );
endmodule

[Up: ShiftRightCtl g82]
module ME_O2A1I (a, b, c, z);
   input a, b, c;
   output z;
   JG12A i (.O(z), .A1(a), .A2(b), .B(c) );
endmodule

module ME_O2A1I_B (a, b, c, z);
   input a, b, c;
   output z;
   JG12A i (.O(z), .A1(a), .A2(b), .B(c) );
endmodule

module ME_A222OI (a, b, c, d, e, f, z);
   input a, b, c, d, e, f;
   output z;
   JD222A i (.O(z), .A1(a), .A2(b), .B1(c), .B2(d), .C1(e), .C2(f) );
endmodule

[Up: YMuxCtl ym4][Up: YMuxCtl ym5][Up: YMuxCtl ym6]
module ME_A222OI_B (a, b, c, d, e, f, z);
   input a, b, c, d, e, f;
   output z;
   JD222A i (.O(z), .A1(a), .A2(b), .B1(c), .B2(d), .C1(e), .C2(f) );
endmodule

module ME_O2222AI (a, b, c, d, e, f, g, h, z);
   input a, b, c, d, e, f, g, h;
   output z;
   AG2222A i (.O(z), .A1(a), .A2(b), .B1(c), .B2(d), .C1(e), .C2(f),
		.D1(g), .D2(h) );
endmodule

module ME_O2222AI_B (a, b, c, d, e, f, g, h, z);
   input a, b, c, d, e, f, g, h;
   output z;
   AG2222A i (.O(z), .A1(a), .A2(b), .B1(c), .B2(d), .C1(e), .C2(f),
		.D1(g), .D2(h) );
endmodule

[Up: MiptrIncrement ha_6][Up: MiptrIncrement ha_5][Up: MiptrIncrement ha_4][Up: MiptrIncrement ha_3][Up: MiptrIncrement ha_2][Up: MiptrIncrement ha_1]
module ME_ADD2 (a, b, s, c);
   input a, b;
   output s,c;
   JHAD1A u0 (.CO(c), .S(s), .A(a), .B(b) );
endmodule

module ME_ADD3 (a, b, ci, s, co);
   input a, b, ci ;
   output  s, co ;
   JFAD1A u0 (.CO(co), .S(s), .A(a), .B(b), .CI(ci) );
endmodule

[Up: CS_byte g03][Up: CS_byte g13][Up: CS_byte g23][Up: CS_byte g33][Up: CS_byte g43][Up: CS_byte g53][Up: CS_byte g63][Up: CS_byte g73][Up: CS_bit g13]
module ME_ADD3_B (a, b, ci, s, co);
   input a, b, ci ;
   output  s, co ;
   JFAD1A u0 (.CO(co), .S(s), .A(a), .B(b), .CI(ci) );
endmodule

// comparators

module ME_COMP3 (a, b, z);
   input [2:0] a, b;
   output z;
   ACOMP3A u0 (.A0(a[0]), .A1(a[1]), .A2(a[2]),
		.B0(b[0]), .B1(b[1]), .B2(b[2]), .O(z) );
endmodule

[Up: rfrw_ctl cmp_wa_ra1][Up: rfrw_ctl cmp_wa_ra2][Up: rfrw_ctl cmp_wa_ra3_d][Up: rfrw_ctl cmp_wa_ra3_e][Up: fhold_ctl cmp_d_rd_e][Up: chkdep_rd_src cmp_rd_rs1][Up: chkdep_rd_src cmp_rd_rs2][Up: chkdep_rd_all cmp_rd_rd][Up: chkdep_rd_all cmp_rd_rs1][Up: chkdep_rd_all cmp_rd_rs2]
module ME_COMP4 (a, b, z);
   input [3:0] a, b;
   output z;
   ACOMP4A u0 (.A0(a[0]), .A1(a[1]), .A2(a[2]), .A3(a[3]),
		.B0(b[0]), .B1(b[1]), .B2(b[2]), .B3(b[3]), .O(z) );
endmodule

module ME_COMP5 (a, b, z);
   input [4:0] a, b;
   output z;
   ACOMP5A u0 (.A0(a[0]), .A1(a[1]), .A2(a[2]), .A3(a[3]), .A4(a[4]),
		.B0(b[0]), .B1(b[1]), .B2(b[2]), .B3(b[3]), .B4(b[4]), .O(z) );
endmodule


// multiplexors

// Binary encoded muxes
[Up: ShiftLeftCtl g20][Up: ShiftLeftCtl g21][Up: ShiftLeftCtl g22][Up: acell10 g19][Up: rfrw_ctl iu_hold_gate_12][Up: rfrw_ctl iu_hold_gate_13][Up: pproduct21 p1][Up: pproduct21 p2][Up: pproduct23 p0][Up: pproduct23 p1][Up: pproduct24 p1][Up: pproduct24 p2][Up: pproduct25 p1][Up: pproduct25 p2][Up: pproduct26 p1][Up: pproduct26 p2][Up: AdderLSBlog g15][Up: SignLogic saop][Up: StickyPairNCI g34][Up: ME_MUX8B m2][Up: ExpAdderLSB g12][Up: pproduct52_27 p1][Up: pproduct52_27 p2][Up: pproduct52_27 p3][Up: DivLog qb1m][Up: CregLoadCtl fg1][Up: CregLoadCtl fg0]
module ME_MUX2B (s, a, b, z);
   input s, a, b ;
   output z ;

   AMUX2A u0 (.O(z), .A(a), .B(b), .S(s) );
endmodule

[Up: SignLogic asmp][Up: ME_MUX8B_B m2]
module ME_MUX2B_B (s, a, b, z);
   input s, a, b ;
   output z ;

   AMUX2A u0 (.O(z), .A(a), .B(b), .S(s) );
endmodule

[Up: CondMux vc_1][Up: CondMux vc_4][Up: acell10 g12][Up: acell10 g25][Up: acell10 g26][Up: acell16 g12][Up: acell16 g13][Up: acell16 g14][Up: acell16 g25][Up: StickyPairNC g28][Up: twosch m4][Up: acell4a g17][Up: acell4a g20][Up: acell4a g24][Up: acell4a g25][Up: CS_byte g01][Up: CS_byte g11][Up: CS_byte g21][Up: CS_byte g31][Up: CS_byte g41][Up: CS_byte g51][Up: CS_byte g61][Up: CS_byte g71][Up: FREG_5bit n0][Up: AdderLSBlog g16][Up: FREG_S_5bit n0][Up: acell4 g18][Up: acell4 g20][Up: acell4 g24][Up: acell4 g25][Up: CS_bit g11][Up: RoundModeLogic rm0m]... (truncated)
module ME_NMUX2B (s, a, b, z);		// inverting mux
   input s, a, b ;
   output z ;

   JMUX2A u0 (.O(z), .A(a), .B(b), .S(s) );
endmodule

[Up: CondMux sp_2][Up: CondMux sp_3][Up: acell10 g17][Up: acell16 g18][Up: acell16 g20][Up: acell16 g26][Up: acell1a g4][Up: StickyPairNC g34][Up: twosch_top m4][Up: BregLoadCtl alcn0][Up: acell4a g12][Up: acell4a g13][Up: acell4a g14][Up: FREG_8bit_s sm0][Up: AdderLSBlog g12][Up: acell1 g4][Up: acell4 g12][Up: acell4 g13][Up: acell4 g14][Up: SignLogic asm][Up: SignLogic asmv][Up: ExpShifter sm4][Up: ExpShifter sm3][Up: ExpShifter sm2][Up: ExpShifter sm1][Up: ExpShifter sm0][Up: adder13 g13][Up: adder13 g15][Up: adder13 g18][Up: AregLoadCtl alcn0][Up: PreventSwapCtl psg6][Up: CaseGeneration ufb0]... (truncated)
module ME_NMUX2B_B (s, a, b, z);	// inverting mux
   input s, a, b ;
   output z ;

   JMUX2A u0 (.O(z), .A(a), .B(b), .S(s) );
endmodule

[Up: ShiftDec mu1][Up: ShiftDec mu0][Up: FREG_2bit n0]
module ME_NMUX2BA (nota, a, d0, d1, notX);
// A very important special case 
// use with care as A being on at the same time as notA burns power.
// note the order of the inputs which is choosen so that this is compatable
// with an NMUX2  although the behaviour is only the same if both a driven
// from true and inverse of a signal
   input a, nota, d0, d1 ;
   output notX ;
   JD22A i (.O(notX), .A1(nota), .A2(d0), .B1(a), .B2(d1) );
endmodule

[Up: ME_NMUX_2Bbit g0]
module ME_NMUX2BA_B (nota, a, d0, d1, notX);
// A very important special case 
// use with care as A being on at the same time as notA burns power.
// note the order of the inputs which is choosen so that this is compatable
// with an NMUX2  although the behaviour is only the same if both a driven
// from true and inverse of a signal
   input a, nota, d0, d1 ;
   output notX ;
   JD22A i (.O(notX), .A1(nota), .A2(d0), .B1(a), .B2(d1) );
endmodule

[Up: ME_MUX_4Bbyte m0][Up: ME_MUX_4Bbyte m1][Up: ME_MUX_4Bbyte m2][Up: ME_MUX_4Bbyte m3][Up: ME_MUX_4Bbyte m4][Up: ME_MUX_4Bbyte m5][Up: ME_MUX_4Bbyte m6][Up: ME_MUX_4Bbyte m7][Up: fp_qst fq_rs1_0_mux][Up: fp_qst fq_rs2_0_mux][Up: fp_qst src_size_mux][Up: CondMux vx_3][Up: CondMux vx_2][Up: FREG_5bit m0][Up: FREG_S_5bit m0][Up: SignLogic msc0][Up: ME_NMUX5B m2][Up: ME_MUX_4B_10 m16][Up: ME_MUX_4B_10 m15][Up: ME_MUX_4B_13 m16][Up: ME_MUX_4B_13 m15][Up: ME_MUX_4B_13 m17][Up: ME_MUX_4B_13 m18][Up: ME_MUX_4B_13 m19][Up: ME_MUX8B m0][Up: ME_MUX8B m1][Up: FREG_4Bit n0][Up: ME_MUX_4B_4 m0][Up: ME_MUX_4B_4 m1][Up: ME_MUX_4B_4 m2][Up: ME_MUX_4B_4 m3][Up: FREG_S_4Bit n0]... (truncated)
module ME_MUX4B (a, b, d0, d1, d2, d3, z);
   input a, b, d0, d1, d2, d3 ;
   output z ;
   // note A is least significant select line.
   //  A B   Selected
   //  00     d0
   //  10     d1
   //  01     d2
   //  11     d3

   AMUX4A m0 (.S0(a), .S1(b), .I1(d0), .I2(d1), .I3(d2), .I4(d3), .O(z) );
endmodule

[Up: ME_MUX8B_B m0][Up: ME_MUX8B_B m1]
module ME_MUX4B_B (a, b, d0, d1, d2, d3, z);
   input a, b, d0, d1, d2, d3 ;
   output z ;
   // note A is least significant select line.
   //  A B   Selected
   //  00     d0
   //  10     d1
   //  01     d2
   //  11     d3
   AMUX4A m0 (.S0(a), .S1(b), .I1(d0), .I2(d1), .I3(d2), .I4(d3), .O(z) );
endmodule

module ME_NMUX5B (A, B, C, D0, D1, D2, D3, D4, notXout);
   input A, B, C, D0, D1, D2, D3, D4 ;
   output notXout ;
   // note A is least significant select line.
   //  A B C  Selected
   //  000    d0
   //  100    d1
   //  010    d2
   //  110    d3
   //  ??1    d4

   ME_MUX4B   m2 (A, B, D0, D1, D2, D3, T0);
   ME_NMUX2B  m1 (C, T0, D4, notXout) ;
endmodule

[Up: CondMux vx_0]
module ME_MUX8B (a, b, c, d0, d1, d2, d3, d4, d5, d6, d7, f);
   input a, b, c, d0, d1, d2, d3, d4, d5, d6, d7 ;
   output f ;
   // note A is least significant select line.

   ME_MUX4B m0 (a, b, d0, d1, d2, d3, z0);
   ME_MUX4B m1 (a, b, d4, d5, d6, d7, z1);
   ME_MUX2B m2 (c, z0, z1, f);
endmodule

[Up: FREG_8bit_s n0][Up: FREG_8bit n0]
module ME_MUX8B_B (a, b, c, d0, d1, d2, d3, d4, d5, d6, d7, f);
   input a, b, c, d0, d1, d2, d3, d4, d5, d6, d7 ;
   output f ;
   // note A is least significant select line.
   ME_MUX4B_B m0 (a, b, d0, d1, d2, d3, z0);
   ME_MUX4B_B m1 (a, b, d4, d5, d6, d7, z1);
   ME_MUX2B_B m2 (c, z0, z1, f);
endmodule


[Up: ME_MUX_2B_11 m11][Up: ME_MUX_2B_11 m12][Up: ME_MUX_2B_11 m13][Up: ME_MUX_2B_13 m11][Up: ME_MUX_2B_13 m12][Up: ME_MUX_2B_13 m13][Up: ME_MUX_2B_13 m14][Up: ME_MUX_2B_13 m15][Up: ME_MUX_2B_52 m16][Up: ME_MUX_2B_52 m17][Up: ME_MUX_2B_52 m18][Up: ME_MUX_2B_52 m19][Up: ME_MUX_2B_58 m17][Up: ME_MUX_2B_58 m18][Up: ME_MUX_2B_2 m10][Up: ME_MUX_2B_2 m11][Up: ME_MUX_2B_3 m10][Up: ME_MUX_2B_3 m11][Up: ME_MUX_2B_3 m12][Up: ME_MUX_2B_4 m10][Up: ME_MUX_2B_4 m11][Up: ME_MUX_2B_4 m12][Up: ME_MUX_2B_4 m13][Up: ME_MUX_2B_5 m10][Up: ME_MUX_2B_5 m11][Up: ME_MUX_2B_5 m12][Up: ME_MUX_2B_5 m13][Up: ME_MUX_2B_5 m14][Up: ME_MUX_2B_9 m11][Up: ME_MUX21H23 m0][Up: ME_MUX21H23 m1][Up: ME_MUX21H23 m2]... (truncated)
module ME_MUX_2Bbit  ( notA, A, D0, D1, Xout ) ;
   input notA, A;
   input D0, D1;
   output Xout;
   JDB22A g0 ( .A1(notA), .A2(D0), .B1(A), .B2(D1), .O(Xout) );
endmodule


[Up: ME_MUX_2B_B_13 m11][Up: ME_MUX_2B_B_13 m12][Up: ME_MUX_2B_B_13 m13][Up: ME_MUX_2B_B_13 m14][Up: ME_MUX_2B_B_13 m15][Up: ME_MUX_2B_Bbyte g10][Up: ME_MUX_2B_Bbyte g11][Up: ME_MUX_2B_Bbyte g12][Up: ME_MUX_2B_Bbyte g13][Up: ME_MUX_2B_Bbyte g14][Up: ME_MUX_2B_Bbyte g15][Up: ME_MUX_2B_Bbyte g16][Up: ME_MUX_2B_Bbyte g17][Up: ME_MUX_2B_B_58 m17][Up: ME_MUX_2B_B_58 m18]
module ME_MUX_2B_Bbit  ( notA, A, D0, D1, Xout ) ;
   input notA, A;
   input D0, D1;
   output Xout;
   JDB22A g0 ( .A1(notA), .A2(D0), .B1(A), .B2(D1), .O(Xout) );
endmodule


[Up: ME_NMUX_2B_57 m17][Up: ME_NMUX_2B_58 m17][Up: ME_NMUX_2B_58 m18][Up: ME_NMUX_2Bbyte g10][Up: ME_NMUX_2Bbyte g11][Up: ME_NMUX_2Bbyte g12][Up: ME_NMUX_2Bbyte g13][Up: ME_NMUX_2Bbyte g14][Up: ME_NMUX_2Bbyte g15][Up: ME_NMUX_2Bbyte g16][Up: ME_NMUX_2Bbyte g17]
module ME_NMUX_2Bbit  ( notA, A, D0, D1, Xout ) ;
   input notA, A;
   input D0, D1;
   output Xout;
   ME_NMUX2BA_B g0 ( .nota(notA), .a(A), .d0(D0), .d1(D1), .notX(Xout) );
endmodule


[Up: ME_MUX_2B_B_13 m10][Up: ME_MUX_2B_B_58 m10][Up: ME_MUX_2B_B_58 m11][Up: ME_MUX_2B_B_58 m12][Up: ME_MUX_2B_B_58 m13][Up: ME_MUX_2B_B_58 m14][Up: ME_MUX_2B_B_58 m15][Up: ME_MUX_2B_B_58 m16]
module ME_MUX_2B_Bbyte ( notA, A, D0, D1, Xout ) ;
   input notA, A;
   input  [7:0] D0, D1;
   output [7:0] Xout;
   ME_MUX_2B_Bbit g10 ( notA, A, D0[0], D1[0], Xout[0] );
   ME_MUX_2B_Bbit g11 ( notA, A, D0[1], D1[1], Xout[1] );
   ME_MUX_2B_Bbit g12 ( notA, A, D0[2], D1[2], Xout[2] );
   ME_MUX_2B_Bbit g13 ( notA, A, D0[3], D1[3], Xout[3] );
   ME_MUX_2B_Bbit g14 ( notA, A, D0[4], D1[4], Xout[4] );
   ME_MUX_2B_Bbit g15 ( notA, A, D0[5], D1[5], Xout[5] );
   ME_MUX_2B_Bbit g16 ( notA, A, D0[6], D1[6], Xout[6] );
   ME_MUX_2B_Bbit g17 ( notA, A, D0[7], D1[7], Xout[7] );
endmodule
 

[Up: ME_MUX_2B_11 m10][Up: ME_MUX_2B_13 m10][Up: ME_MUX_2B_32 m10][Up: ME_MUX_2B_32 m11][Up: ME_MUX_2B_32 m12][Up: ME_MUX_2B_32 m13][Up: ME_MUX_2B_52 m10][Up: ME_MUX_2B_52 m11][Up: ME_MUX_2B_52 m12][Up: ME_MUX_2B_52 m13][Up: ME_MUX_2B_52 m14][Up: ME_MUX_2B_52 m15][Up: ME_MUX_2B_58 m10][Up: ME_MUX_2B_58 m11][Up: ME_MUX_2B_58 m12][Up: ME_MUX_2B_58 m13][Up: ME_MUX_2B_58 m14][Up: ME_MUX_2B_58 m15][Up: ME_MUX_2B_58 m16][Up: ME_MUX_2B_64 m10][Up: ME_MUX_2B_64 m11][Up: ME_MUX_2B_64 m12][Up: ME_MUX_2B_64 m13][Up: ME_MUX_2B_64 m14][Up: ME_MUX_2B_64 m15][Up: ME_MUX_2B_64 m16][Up: ME_MUX_2B_64 m17][Up: ME_MUX_2B_8 m10][Up: ME_MUX_2B_9 m10][Up: ME_MUX21H23 m10][Up: ME_MUX21H23 m11][Up: ME_MUX21H25 m10]... (truncated)
module ME_MUX_2Bbyte ( notA, A, D0, D1, Xout ) ;
   input notA, A;
   input  [7:0] D0, D1;
   output [7:0] Xout;
   ME_MUX_2Bbit g10 ( notA, A, D0[0], D1[0], Xout[0] );
   ME_MUX_2Bbit g11 ( notA, A, D0[1], D1[1], Xout[1] );
   ME_MUX_2Bbit g12 ( notA, A, D0[2], D1[2], Xout[2] );
   ME_MUX_2Bbit g13 ( notA, A, D0[3], D1[3], Xout[3] );
   ME_MUX_2Bbit g14 ( notA, A, D0[4], D1[4], Xout[4] );
   ME_MUX_2Bbit g15 ( notA, A, D0[5], D1[5], Xout[5] );
   ME_MUX_2Bbit g16 ( notA, A, D0[6], D1[6], Xout[6] );
   ME_MUX_2Bbit g17 ( notA, A, D0[7], D1[7], Xout[7] );
endmodule


[Up: ME_NMUX_2B_57 m10][Up: ME_NMUX_2B_57 m11][Up: ME_NMUX_2B_57 m12][Up: ME_NMUX_2B_57 m13][Up: ME_NMUX_2B_57 m14][Up: ME_NMUX_2B_57 m15][Up: ME_NMUX_2B_57 m16][Up: ME_NMUX_2B_58 m10][Up: ME_NMUX_2B_58 m11][Up: ME_NMUX_2B_58 m12][Up: ME_NMUX_2B_58 m13][Up: ME_NMUX_2B_58 m14][Up: ME_NMUX_2B_58 m15][Up: ME_NMUX_2B_58 m16][Up: ME_NMUX_2B_8 m13]
module ME_NMUX_2Bbyte ( notA, A, D0, D1, Xout ) ;
   input notA, A;
   input  [7:0] D0, D1;
   output [7:0] Xout;
   ME_NMUX_2Bbit g10 ( notA, A, D0[0], D1[0], Xout[0] );
Next1234
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Aug 19 12:00:55 1999
From: ../../../sparc_v8/lib/rtl/me_cells.v

Verilog converted to html by v2html 5.0 (written by Costas Calamvokis).Help