HierarchyFilesModulesSignalsTasksFunctionsHelp

/******************************************************************************/ 
/*                                                                            */ 
/* Copyright (c) 1999 Sun Microsystems, Inc. All rights reserved.             */ 
/*                                                                            */ 
/* The contents of this file are subject to the current version of the Sun    */ 
/* Community Source License, microSPARCII ("the License"). You may not use    */ 
/* this file except in compliance with the License.  You may obtain a copy    */ 
/* of the License by searching for "Sun Community Source License" on the      */ 
/* World Wide Web at http://www.sun.com. See the License for the rights,      */ 
/* obligations, and limitations governing use of the contents of this file.   */ 
/*                                                                            */ 
/* Sun Microsystems, Inc. has intellectual property rights relating to the    */ 
/* technology embodied in these files. In particular, and without limitation, */ 
/* these intellectual property rights may include one or more U.S. patents,   */ 
/* foreign patents, or pending applications.                                  */ 
/*                                                                            */ 
/* Sun, Sun Microsystems, the Sun logo, all Sun-based trademarks and logos,   */ 
/* Solaris, Java and all Java-based trademarks and logos are trademarks or    */ 
/* registered trademarks of Sun Microsystems, Inc. in the United States and   */ 
/* other countries. microSPARC is a trademark or registered trademark of      */ 
/* SPARC International, Inc. All SPARC trademarks are used under license and  */ 
/* are trademarks or registered trademarks of SPARC International, Inc. in    */ 
/* the United States and other countries. Products bearing SPARC trademarks   */ 
/* are based upon an architecture developed by Sun Microsystems, Inc.         */ 
/*                                                                            */ 
/******************************************************************************/ 
//  @(#)expregloadctl.v	1.1  4/7/92
//
[Up: exp_ctl alc][Up: exp_ctl blc]
module ExpRegLoadCtl (RomExpBregLC,
                    LoadOprs,
                    notAbortWB,
                    PreventSwap,
                    notSignBFromA,
                    ExpBregLC0,
                    ExpBregLC1,
                    ExpBregLoadEn);

input  [`u_ExpBregFromFunc1:`u_ExpBregFromFunc0] RomExpBregLC;
input  notAbortWB,
       PreventSwap,
       LoadOprs;
output notSignBFromA;
output ExpBregLC0,
       ExpBregLC1,
       ExpBregLoadEn;
ME_TIEOFF toff (vdd, );

ME_INVA iopl (LoadOprs, notLoadOprs);
ME_AND2 alcn1 (RomExpBregLC[`u_ExpBregFromFunc1], notLoadOprs, ExpBregLC1);
ME_AND2 alcn2 (RomExpBregLC[`u_ExpBregFromFunc0], notLoadOprs, ExpBregLC0);
ME_OR3 alcne  (RomExpBregLC[`u_ExpBregFromFunc0],
            RomExpBregLC[`u_ExpBregFromFunc1],
            LoadOprs,
            LoadEn);

ME_NAND2 alc4 (RomExpBregLC[`u_ExpBregFromFunc0],
            RomExpBregLC[`u_ExpBregFromFunc1],
            notSignBFromA);

//ME_AND3 en (LoadEn, notAbortWB, notPreventSwap, ExpBregLoadEn);
ME_NAND2 en0 (LoadEn, notAbortWB, notExpBregLoadEn_p);
ME_NMUX2B en1 (notExpBregLoadEn_p, PreventSwap, vdd, ExpBregLoadEn);

endmodule

HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Aug 19 12:00:29 1999
From: ../../../sparc_v8/ssparc/fpu/fp_ctl/rtl/expregloadctl.v

Verilog converted to html by v2html 5.0 (written by Costas Calamvokis).Help