HierarchyFilesModulesSignalsTasksFunctionsHelp

/******************************************************************************/ 
/*                                                                            */ 
/* Copyright (c) 1999 Sun Microsystems, Inc. All rights reserved.             */ 
/*                                                                            */ 
/* The contents of this file are subject to the current version of the Sun    */ 
/* Community Source License, microSPARCII ("the License"). You may not use    */ 
/* this file except in compliance with the License.  You may obtain a copy    */ 
/* of the License by searching for "Sun Community Source License" on the      */ 
/* World Wide Web at http://www.sun.com. See the License for the rights,      */ 
/* obligations, and limitations governing use of the contents of this file.   */ 
/*                                                                            */ 
/* Sun Microsystems, Inc. has intellectual property rights relating to the    */ 
/* technology embodied in these files. In particular, and without limitation, */ 
/* these intellectual property rights may include one or more U.S. patents,   */ 
/* foreign patents, or pending applications.                                  */ 
/*                                                                            */ 
/* Sun, Sun Microsystems, the Sun logo, all Sun-based trademarks and logos,   */ 
/* Solaris, Java and all Java-based trademarks and logos are trademarks or    */ 
/* registered trademarks of Sun Microsystems, Inc. in the United States and   */ 
/* other countries. microSPARC is a trademark or registered trademark of      */ 
/* SPARC International, Inc. All SPARC trademarks are used under license and  */ 
/* are trademarks or registered trademarks of SPARC International, Inc. in    */ 
/* the United States and other countries. Products bearing SPARC trademarks   */ 
/* are based upon an architecture developed by Sun Microsystems, Inc.         */ 
/*                                                                            */ 
/******************************************************************************/ 
//  @(#)adderlsblog.v	1.1  4/7/92
//
[Up: fp_frac fals]
module AdderLSBlog ( Rom_Sub,
                     Rom_DivStepSQRT,
                     notBregSign, Eqv,
                     notFracRNearest,
                     TrueIEEEAregLSB,
                     notSub, AdderCarryIn,
                     notFracZero, FracZero);

input  Rom_Sub,
       Rom_DivStepSQRT,
       notBregSign, Eqv;
input  notFracRNearest,
       TrueIEEEAregLSB;
output notSub, AdderCarryIn,
       notFracZero, FracZero;

ME_TIEOFF toff (vdd, gnd);

ME_NMUX2B_B g12 (Rom_DivStepSQRT, Rom_Sub, notBregSign, notSub);

ME_INV_A    g13 (notSub, Sub);

ME_MUX2B   g15 (notFracRNearest, TrueIEEEAregLSB, Sub, AdderCarryIn);

// Optimise
// ME_AND2   g14 (Eqv, Sub, notFracZero); // this is neccessary for no-zero on add

ME_NMUX2B   g16 (notSub, Eqv, gnd, notFracZero); // this is neccessary for no-zero on add

ME_INVA     g17 (notFracZero, FracZero);

endmodule

HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Aug 19 12:03:34 1999
From: ../../../sparc_v8/ssparc/fpu/fp_frac/rtl/adderlsblog.v

Verilog converted to html by v2html 5.0 (written by Costas Calamvokis).Help