HierarchyFilesModulesSignalsTasksFunctionsHelp
Prev12345678910
          last_falling = $time;
        end
      end
      if (model_flags.x_check) begin
        if (INP.prstnn === 1'bx) begin

// Check for UNKNOWN value on control/clock pin
          $display("WARNING at time %0t from %m",$time);
          $display("     \"Unknown value on pin prstnn will be ignored\"");
        end
      end
    end else if ($time > 1 && INP.prstnn_event) begin
      if (INP.prstnn === 1'b1) begin
        last_rising = $time;
      end else if (INP.prstnn === 1'b0) begin
        last_falling = $time;
      end
    end
  end
end // prstnn_check;

// -----  HOLD CHECK PROCESS  -----

always begin : hold_check
reg wait_for_0;
  if (init_finished !== `true) begin
    wait (init_finished === `true);
  end
  if (model_flags.time_check !== `true) begin

// Kill process if NOT USED in simulation
    wait(`false);
  end else begin
    if ($time < 1) begin
      #(`time_unit);
    end
    @(INP.pad or INP.pcxbenn or INP.ppar or INP.pframenn or INP.ptrdynn or INP.pirdynn or INP.pstopnn or INP.pdevselnn or INP.pidsel or INP.preqnn or INP.pgntnn or INP.pclk or INP.pclkrunnn or INP.prstnn or INP.pd or INP.pbenn or INP.ppar64 or INP.preq64nn or INP.pack64nn or INP.plocknn or INP.pperrnn or INP.pserrnn or INP.psbonn or INP.psdone);
    wait_for_0 = `true;
    wait_for_0 <= #(0) `false;
    @(negedge wait_for_0);
    INP.pad_last_event = $time - INP.pad_event_time;
    INP.pcxbenn_last_event = $time - INP.pcxbenn_event_time;
    INP.ppar_last_event = $time - INP.ppar_event_time;
    INP.pframenn_last_event = $time - INP.pframenn_event_time;
    INP.ptrdynn_last_event = $time - INP.ptrdynn_event_time;
    INP.pirdynn_last_event = $time - INP.pirdynn_event_time;
    INP.pstopnn_last_event = $time - INP.pstopnn_event_time;
    INP.pdevselnn_last_event = $time - INP.pdevselnn_event_time;
    INP.pidsel_last_event = $time - INP.pidsel_event_time;
    INP.preqnn_last_event = $time - INP.preqnn_event_time;
    INP.pgntnn_last_event = $time - INP.pgntnn_event_time;
    INP.pclk_last_event = $time - INP.pclk_event_time;
    INP.pclkrunnn_last_event = $time - INP.pclkrunnn_event_time;
    INP.prstnn_last_event = $time - INP.prstnn_event_time;
    INP.pd_last_event = $time - INP.pd_event_time;
    INP.pbenn_last_event = $time - INP.pbenn_event_time;
    INP.ppar64_last_event = $time - INP.ppar64_event_time;
    INP.preq64nn_last_event = $time - INP.preq64nn_event_time;
    INP.pack64nn_last_event = $time - INP.pack64nn_event_time;
    INP.plocknn_last_event = $time - INP.plocknn_event_time;
    INP.pperrnn_last_event = $time - INP.pperrnn_event_time;
    INP.pserrnn_last_event = $time - INP.pserrnn_event_time;
    INP.psbonn_last_event = $time - INP.psbonn_event_time;
    INP.psdone_last_event = $time - INP.psdone_event_time;
    if (INP.pclk === 1'b1 && CNTRL.pclk) begin
      if (INP.pstopnn_last_event <= `time_unit && CNTRL.pstopnn) begin
      if (CNTRL.r_pstopnn_pclk && INP.pclk_last_event < model_times.trh_pstopnn_pclk &&
        (INP.pclk_last_event > - model_times.trs_pstopnn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pstopnn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pstopnn and pclk\"");
      end
      end
      if (INP.pidsel_last_event <= `time_unit && CNTRL.pidsel) begin
      if (CNTRL.r_pidsel_pclk && INP.pclk_last_event < model_times.trh_pidsel_pclk &&
        (INP.pclk_last_event > - model_times.trs_pidsel_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pidsel_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pidsel and pclk\"");
      end
      end
      if (INP.pgntnn_last_event <= `time_unit && CNTRL.pgntnn) begin
      if (CNTRL.r_pgntnn_pclk && INP.pclk_last_event < model_times.trh_pgntnn_pclk &&
        (INP.pclk_last_event > - model_times.trs_pgntnn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pgntnn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pgntnn and pclk\"");
      end
      end
      if (INP.pack64nn_last_event <= `time_unit && CNTRL.pack64nn) begin
      if (CNTRL.r_pack64nn_pclk && INP.pclk_last_event < model_times.trh_pack64nn_pclk &&
        (INP.pclk_last_event > - model_times.trs_pack64nn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pack64nn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pack64nn and pclk\"");
      end
      end
      if (INP.pserrnn_last_event <= `time_unit && CNTRL.pserrnn) begin
      if (CNTRL.r_pserrnn_pclk && INP.pclk_last_event < model_times.trh_pserrnn_pclk &&
        (INP.pclk_last_event > - model_times.trs_pserrnn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pserrnn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pserrnn and pclk\"");
      end
      end
      if (INP.psbonn_last_event <= `time_unit && CNTRL.psbonn) begin
      if (CNTRL.r_psbonn_pclk && INP.pclk_last_event < model_times.trh_psbonn_pclk &&
        (INP.pclk_last_event > - model_times.trs_psbonn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_psbonn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins psbonn and pclk\"");
      end
      end
      if (INP.psdone_last_event <= `time_unit && CNTRL.psdone) begin
      if (CNTRL.r_psdone_pclk && INP.pclk_last_event < model_times.trh_psdone_pclk &&
        (INP.pclk_last_event > - model_times.trs_psdone_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_psdone_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins psdone and pclk\"");
      end
      end
      if (INP.pad_last_event <= `time_unit && CNTRL.pad_in) begin
      if (CNTRL.r_pad_pclk &&
        INP.pclk_last_event < model_times.trh_pad_pclk &&
        (INP.pclk_last_event > - model_times.trs_pad_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pad_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pad and pclk\"");
      end
      end
      if (INP.pcxbenn_last_event <= `time_unit && CNTRL.pcxbenn_in) begin
      if (CNTRL.r_pcxbenn_pclk &&
        INP.pclk_last_event < model_times.trh_pcxbenn_pclk &&
        (INP.pclk_last_event > - model_times.trs_pcxbenn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pcxbenn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pcxbenn and pclk\"");
      end
      end
      if (INP.ppar_last_event <= `time_unit && CNTRL.ppar_in) begin
      if (CNTRL.r_ppar_pclk &&
        INP.pclk_last_event < model_times.trh_ppar_pclk &&
        (INP.pclk_last_event > - model_times.trs_ppar_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_ppar_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins ppar and pclk\"");
      end
      end
      if (INP.pframenn_last_event <= `time_unit && CNTRL.pframenn_in) begin
      if (CNTRL.r_pframenn_pclk &&
        INP.pclk_last_event < model_times.trh_pframenn_pclk &&
        (INP.pclk_last_event > - model_times.trs_pframenn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pframenn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pframenn and pclk\"");
      end
      end
      if (INP.ptrdynn_last_event <= `time_unit && CNTRL.ptrdynn_in) begin
      if (CNTRL.r_ptrdynn_pclk &&
        INP.pclk_last_event < model_times.trh_ptrdynn_pclk &&
        (INP.pclk_last_event > - model_times.trs_ptrdynn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_ptrdynn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins ptrdynn and pclk\"");
      end
      end
      if (INP.pirdynn_last_event <= `time_unit && CNTRL.pirdynn_in) begin
      if (CNTRL.r_pirdynn_pclk &&
        INP.pclk_last_event < model_times.trh_pirdynn_pclk &&
        (INP.pclk_last_event > - model_times.trs_pirdynn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pirdynn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pirdynn and pclk\"");
      end
      end
      if (INP.pdevselnn_last_event <= `time_unit && CNTRL.pdevselnn_in) begin
      if (CNTRL.r_pdevselnn_pclk &&
        INP.pclk_last_event < model_times.trh_pdevselnn_pclk &&
        (INP.pclk_last_event > - model_times.trs_pdevselnn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pdevselnn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pdevselnn and pclk\"");
      end
      end
      if (INP.preqnn_last_event <= `time_unit && CNTRL.preqnn_in) begin
      if (CNTRL.r_preqnn_pclk &&
        INP.pclk_last_event < model_times.trh_preqnn_pclk &&
        (INP.pclk_last_event > - model_times.trs_preqnn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_preqnn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins preqnn and pclk\"");
      end
      end
      if (INP.pclkrunnn_last_event <= `time_unit && CNTRL.pclkrunnn_in) begin
      if (CNTRL.r_pclkrunnn_pclk &&
        INP.pclk_last_event < model_times.trh_pclkrunnn_pclk &&
        (INP.pclk_last_event > - model_times.trs_pclkrunnn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pclkrunnn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pclkrunnn and pclk\"");
      end
      end
      if (INP.pd_last_event <= `time_unit && CNTRL.pd_in) begin
      if (CNTRL.r_pd_pclk &&
        INP.pclk_last_event < model_times.trh_pd_pclk &&
        (INP.pclk_last_event > - model_times.trs_pd_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pd_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pd and pclk\"");
      end
      end
      if (INP.pbenn_last_event <= `time_unit && CNTRL.pbenn_in) begin
      if (CNTRL.r_pbenn_pclk &&
        INP.pclk_last_event < model_times.trh_pbenn_pclk &&
        (INP.pclk_last_event > - model_times.trs_pbenn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pbenn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pbenn and pclk\"");
      end
      end
      if (INP.ppar64_last_event <= `time_unit && CNTRL.ppar64_in) begin
      if (CNTRL.r_ppar64_pclk &&
        INP.pclk_last_event < model_times.trh_ppar64_pclk &&
        (INP.pclk_last_event > - model_times.trs_ppar64_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_ppar64_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins ppar64 and pclk\"");
      end
      end
      if (INP.preq64nn_last_event <= `time_unit && CNTRL.preq64nn_in) begin
      if (CNTRL.r_preq64nn_pclk &&
        INP.pclk_last_event < model_times.trh_preq64nn_pclk &&
        (INP.pclk_last_event > - model_times.trs_preq64nn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_preq64nn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins preq64nn and pclk\"");
      end
      end
      if (INP.plocknn_last_event <= `time_unit && CNTRL.plocknn_in) begin
      if (CNTRL.r_plocknn_pclk &&
        INP.pclk_last_event < model_times.trh_plocknn_pclk &&
        (INP.pclk_last_event > - model_times.trs_plocknn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_plocknn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins plocknn and pclk\"");
      end
      end
      if (INP.pperrnn_last_event <= `time_unit && CNTRL.pperrnn_in) begin
      if (CNTRL.r_pperrnn_pclk &&
        INP.pclk_last_event < model_times.trh_pperrnn_pclk &&
        (INP.pclk_last_event > - model_times.trs_pperrnn_pclk ||
        (INP.pclk_last_event == 0 && model_times.trs_pperrnn_pclk == 0))) begin
        $display("WARNING at time %0t from %m",$time);
        $display("     \"Hold violation between pins pperrnn and pclk\"");
      end
      end
    end
  end
end // hold_check;

// -----  DATA IN PROCESSES  -----


always begin: pad_data_in
  if (model_flags.annotated !== `true) begin
    INP.pad_0 <= #( 0)  lmcver.filter(pad[0]);
    INP.pad_1 <= #( 0)  lmcver.filter(pad[1]);
    INP.pad_2 <= #( 0)  lmcver.filter(pad[2]);
    INP.pad_3 <= #( 0)  lmcver.filter(pad[3]);
    INP.pad_4 <= #( 0)  lmcver.filter(pad[4]);
    INP.pad_5 <= #( 0)  lmcver.filter(pad[5]);
    INP.pad_6 <= #( 0)  lmcver.filter(pad[6]);
    INP.pad_7 <= #( 0)  lmcver.filter(pad[7]);
    INP.pad_8 <= #( 0)  lmcver.filter(pad[8]);
    INP.pad_9 <= #( 0)  lmcver.filter(pad[9]);
    INP.pad_10 <= #( 0)  lmcver.filter(pad[10]);
    INP.pad_11 <= #( 0)  lmcver.filter(pad[11]);
    INP.pad_12 <= #( 0)  lmcver.filter(pad[12]);
    INP.pad_13 <= #( 0)  lmcver.filter(pad[13]);
    INP.pad_14 <= #( 0)  lmcver.filter(pad[14]);
    INP.pad_15 <= #( 0)  lmcver.filter(pad[15]);
    INP.pad_16 <= #( 0)  lmcver.filter(pad[16]);
    INP.pad_17 <= #( 0)  lmcver.filter(pad[17]);
    INP.pad_18 <= #( 0)  lmcver.filter(pad[18]);
    INP.pad_19 <= #( 0)  lmcver.filter(pad[19]);
    INP.pad_20 <= #( 0)  lmcver.filter(pad[20]);
    INP.pad_21 <= #( 0)  lmcver.filter(pad[21]);
    INP.pad_22 <= #( 0)  lmcver.filter(pad[22]);
    INP.pad_23 <= #( 0)  lmcver.filter(pad[23]);
    INP.pad_24 <= #( 0)  lmcver.filter(pad[24]);
    INP.pad_25 <= #( 0)  lmcver.filter(pad[25]);
    INP.pad_26 <= #( 0)  lmcver.filter(pad[26]);
    INP.pad_27 <= #( 0)  lmcver.filter(pad[27]);
    INP.pad_28 <= #( 0)  lmcver.filter(pad[28]);
    INP.pad_29 <= #( 0)  lmcver.filter(pad[29]);
    INP.pad_30 <= #( 0)  lmcver.filter(pad[30]);
    INP.pad_31 <= #( 0)  lmcver.filter(pad[31]);
  end else begin
    INP.pad_0 <= #( model_times.twd_pad[0])  lmcver.filter(pad[0]);
    INP.pad_1 <= #( model_times.twd_pad[1])  lmcver.filter(pad[1]);
    INP.pad_2 <= #( model_times.twd_pad[2])  lmcver.filter(pad[2]);
    INP.pad_3 <= #( model_times.twd_pad[3])  lmcver.filter(pad[3]);
    INP.pad_4 <= #( model_times.twd_pad[4])  lmcver.filter(pad[4]);
    INP.pad_5 <= #( model_times.twd_pad[5])  lmcver.filter(pad[5]);
    INP.pad_6 <= #( model_times.twd_pad[6])  lmcver.filter(pad[6]);
    INP.pad_7 <= #( model_times.twd_pad[7])  lmcver.filter(pad[7]);
    INP.pad_8 <= #( model_times.twd_pad[8])  lmcver.filter(pad[8]);
    INP.pad_9 <= #( model_times.twd_pad[9])  lmcver.filter(pad[9]);
    INP.pad_10 <= #( model_times.twd_pad[10])  lmcver.filter(pad[10]);
    INP.pad_11 <= #( model_times.twd_pad[11])  lmcver.filter(pad[11]);
    INP.pad_12 <= #( model_times.twd_pad[12])  lmcver.filter(pad[12]);
    INP.pad_13 <= #( model_times.twd_pad[13])  lmcver.filter(pad[13]);
    INP.pad_14 <= #( model_times.twd_pad[14])  lmcver.filter(pad[14]);
    INP.pad_15 <= #( model_times.twd_pad[15])  lmcver.filter(pad[15]);
    INP.pad_16 <= #( model_times.twd_pad[16])  lmcver.filter(pad[16]);
    INP.pad_17 <= #( model_times.twd_pad[17])  lmcver.filter(pad[17]);
    INP.pad_18 <= #( model_times.twd_pad[18])  lmcver.filter(pad[18]);
    INP.pad_19 <= #( model_times.twd_pad[19])  lmcver.filter(pad[19]);
    INP.pad_20 <= #( model_times.twd_pad[20])  lmcver.filter(pad[20]);
    INP.pad_21 <= #( model_times.twd_pad[21])  lmcver.filter(pad[21]);
    INP.pad_22 <= #( model_times.twd_pad[22])  lmcver.filter(pad[22]);
    INP.pad_23 <= #( model_times.twd_pad[23])  lmcver.filter(pad[23]);
    INP.pad_24 <= #( model_times.twd_pad[24])  lmcver.filter(pad[24]);
    INP.pad_25 <= #( model_times.twd_pad[25])  lmcver.filter(pad[25]);
    INP.pad_26 <= #( model_times.twd_pad[26])  lmcver.filter(pad[26]);
    INP.pad_27 <= #( model_times.twd_pad[27])  lmcver.filter(pad[27]);
    INP.pad_28 <= #( model_times.twd_pad[28])  lmcver.filter(pad[28]);
    INP.pad_29 <= #( model_times.twd_pad[29])  lmcver.filter(pad[29]);
    INP.pad_30 <= #( model_times.twd_pad[30])  lmcver.filter(pad[30]);
    INP.pad_31 <= #( model_times.twd_pad[31])  lmcver.filter(pad[31]);
  end

  @(pad);

end // pad_data_in


always begin: pcxbenn_data_in
  if (model_flags.annotated !== `true) begin
    INP.pcxbenn_0 <= #( 0)  lmcver.filter(pcxbenn[0]);
    INP.pcxbenn_1 <= #( 0)  lmcver.filter(pcxbenn[1]);
    INP.pcxbenn_2 <= #( 0)  lmcver.filter(pcxbenn[2]);
    INP.pcxbenn_3 <= #( 0)  lmcver.filter(pcxbenn[3]);
  end else begin
    INP.pcxbenn_0 <= #( model_times.twd_pcxbenn[0])  lmcver.filter(pcxbenn[0]);
    INP.pcxbenn_1 <= #( model_times.twd_pcxbenn[1])  lmcver.filter(pcxbenn[1]);
    INP.pcxbenn_2 <= #( model_times.twd_pcxbenn[2])  lmcver.filter(pcxbenn[2]);
    INP.pcxbenn_3 <= #( model_times.twd_pcxbenn[3])  lmcver.filter(pcxbenn[3]);
  end

  @(pcxbenn);

end // pcxbenn_data_in


always begin: ppar_data_in
  if (model_flags.annotated !== `true) begin
    INP.ppar <= #( 0)  lmcver.filter(ppar);
  end else begin
    INP.ppar <= #( model_times.twd_ppar)  lmcver.filter(ppar);
  end

  @(ppar);

end // ppar_data_in


always begin: pframenn_data_in
  if (model_flags.annotated !== `true) begin
    INP.pframenn <= #( 0)  lmcver.filter(pframenn);
  end else begin
    INP.pframenn <= #( model_times.twd_pframenn)  lmcver.filter(pframenn);
  end

  @(pframenn);

end // pframenn_data_in


always begin: ptrdynn_data_in
  if (model_flags.annotated !== `true) begin
    INP.ptrdynn <= #( 0)  lmcver.filter(ptrdynn);
  end else begin
    INP.ptrdynn <= #( model_times.twd_ptrdynn)  lmcver.filter(ptrdynn);
  end

  @(ptrdynn);

end // ptrdynn_data_in


always begin: pirdynn_data_in
  if (model_flags.annotated !== `true) begin
    INP.pirdynn <= #( 0)  lmcver.filter(pirdynn);
  end else begin
    INP.pirdynn <= #( model_times.twd_pirdynn)  lmcver.filter(pirdynn);
  end

  @(pirdynn);

end // pirdynn_data_in


always begin: pstopnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.pstopnn <= #( 0)  lmcver.filter(pstopnn);
  end else begin
    INP.pstopnn <= #( model_times.twd_pstopnn)  lmcver.filter(pstopnn);
  end

  @(pstopnn);

end // pstopnn_data_in


always begin: pdevselnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.pdevselnn <= #( 0)  lmcver.filter(pdevselnn);
  end else begin
    INP.pdevselnn <= #( model_times.twd_pdevselnn)  lmcver.filter(pdevselnn);
  end

  @(pdevselnn);

end // pdevselnn_data_in


always begin: pidsel_data_in
  if (model_flags.annotated !== `true) begin
    INP.pidsel <= #( 0)  lmcver.filter(pidsel);
  end else begin
    INP.pidsel <= #( model_times.twd_pidsel)  lmcver.filter(pidsel);
  end

  @(pidsel);

end // pidsel_data_in


always begin: preqnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.preqnn <= #( 0)  lmcver.filter(preqnn);
  end else begin
    INP.preqnn <= #( model_times.twd_preqnn)  lmcver.filter(preqnn);
  end

  @(preqnn);

end // preqnn_data_in


always begin: pgntnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.pgntnn <= #( 0)  lmcver.filter(pgntnn);
  end else begin
    INP.pgntnn <= #( model_times.twd_pgntnn)  lmcver.filter(pgntnn);
  end

  @(pgntnn);

end // pgntnn_data_in


always begin: pclk_data_in
  if (model_flags.annotated !== `true) begin
    INP.pclk <= #( 0)  lmcver.filter(pclk);
  end else begin
    INP.pclk <= #( model_times.twd_pclk)  lmcver.filter(pclk);
  end

  @(pclk);

end // pclk_data_in


always begin: pclkrunnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.pclkrunnn <= #( 0)  lmcver.filter(pclkrunnn);
  end else begin
    INP.pclkrunnn <= #( model_times.twd_pclkrunnn)  lmcver.filter(pclkrunnn);
  end

  @(pclkrunnn);

end // pclkrunnn_data_in


always begin: prstnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.prstnn <= #( 0)  lmcver.filter(prstnn);
  end else begin
    INP.prstnn <= #( model_times.twd_prstnn)  lmcver.filter(prstnn);
  end

  @(prstnn);

end // prstnn_data_in


always begin: pd_data_in
  if (model_flags.annotated !== `true) begin
    INP.pd_32 <= #( 0)  lmcver.filter(pd[32]);
    INP.pd_33 <= #( 0)  lmcver.filter(pd[33]);
    INP.pd_34 <= #( 0)  lmcver.filter(pd[34]);
    INP.pd_35 <= #( 0)  lmcver.filter(pd[35]);
    INP.pd_36 <= #( 0)  lmcver.filter(pd[36]);
    INP.pd_37 <= #( 0)  lmcver.filter(pd[37]);
    INP.pd_38 <= #( 0)  lmcver.filter(pd[38]);
    INP.pd_39 <= #( 0)  lmcver.filter(pd[39]);
    INP.pd_40 <= #( 0)  lmcver.filter(pd[40]);
    INP.pd_41 <= #( 0)  lmcver.filter(pd[41]);
    INP.pd_42 <= #( 0)  lmcver.filter(pd[42]);
    INP.pd_43 <= #( 0)  lmcver.filter(pd[43]);
    INP.pd_44 <= #( 0)  lmcver.filter(pd[44]);
    INP.pd_45 <= #( 0)  lmcver.filter(pd[45]);
    INP.pd_46 <= #( 0)  lmcver.filter(pd[46]);
    INP.pd_47 <= #( 0)  lmcver.filter(pd[47]);
    INP.pd_48 <= #( 0)  lmcver.filter(pd[48]);
    INP.pd_49 <= #( 0)  lmcver.filter(pd[49]);
    INP.pd_50 <= #( 0)  lmcver.filter(pd[50]);
    INP.pd_51 <= #( 0)  lmcver.filter(pd[51]);
    INP.pd_52 <= #( 0)  lmcver.filter(pd[52]);
    INP.pd_53 <= #( 0)  lmcver.filter(pd[53]);
    INP.pd_54 <= #( 0)  lmcver.filter(pd[54]);
    INP.pd_55 <= #( 0)  lmcver.filter(pd[55]);
    INP.pd_56 <= #( 0)  lmcver.filter(pd[56]);
    INP.pd_57 <= #( 0)  lmcver.filter(pd[57]);
    INP.pd_58 <= #( 0)  lmcver.filter(pd[58]);
    INP.pd_59 <= #( 0)  lmcver.filter(pd[59]);
    INP.pd_60 <= #( 0)  lmcver.filter(pd[60]);
    INP.pd_61 <= #( 0)  lmcver.filter(pd[61]);
    INP.pd_62 <= #( 0)  lmcver.filter(pd[62]);
    INP.pd_63 <= #( 0)  lmcver.filter(pd[63]);
  end else begin
    INP.pd_32 <= #( model_times.twd_pd[32])  lmcver.filter(pd[32]);
    INP.pd_33 <= #( model_times.twd_pd[33])  lmcver.filter(pd[33]);
    INP.pd_34 <= #( model_times.twd_pd[34])  lmcver.filter(pd[34]);
    INP.pd_35 <= #( model_times.twd_pd[35])  lmcver.filter(pd[35]);
    INP.pd_36 <= #( model_times.twd_pd[36])  lmcver.filter(pd[36]);
    INP.pd_37 <= #( model_times.twd_pd[37])  lmcver.filter(pd[37]);
    INP.pd_38 <= #( model_times.twd_pd[38])  lmcver.filter(pd[38]);
    INP.pd_39 <= #( model_times.twd_pd[39])  lmcver.filter(pd[39]);
    INP.pd_40 <= #( model_times.twd_pd[40])  lmcver.filter(pd[40]);
    INP.pd_41 <= #( model_times.twd_pd[41])  lmcver.filter(pd[41]);
    INP.pd_42 <= #( model_times.twd_pd[42])  lmcver.filter(pd[42]);
    INP.pd_43 <= #( model_times.twd_pd[43])  lmcver.filter(pd[43]);
    INP.pd_44 <= #( model_times.twd_pd[44])  lmcver.filter(pd[44]);
    INP.pd_45 <= #( model_times.twd_pd[45])  lmcver.filter(pd[45]);
    INP.pd_46 <= #( model_times.twd_pd[46])  lmcver.filter(pd[46]);
    INP.pd_47 <= #( model_times.twd_pd[47])  lmcver.filter(pd[47]);
    INP.pd_48 <= #( model_times.twd_pd[48])  lmcver.filter(pd[48]);
    INP.pd_49 <= #( model_times.twd_pd[49])  lmcver.filter(pd[49]);
    INP.pd_50 <= #( model_times.twd_pd[50])  lmcver.filter(pd[50]);
    INP.pd_51 <= #( model_times.twd_pd[51])  lmcver.filter(pd[51]);
    INP.pd_52 <= #( model_times.twd_pd[52])  lmcver.filter(pd[52]);
    INP.pd_53 <= #( model_times.twd_pd[53])  lmcver.filter(pd[53]);
    INP.pd_54 <= #( model_times.twd_pd[54])  lmcver.filter(pd[54]);
    INP.pd_55 <= #( model_times.twd_pd[55])  lmcver.filter(pd[55]);
    INP.pd_56 <= #( model_times.twd_pd[56])  lmcver.filter(pd[56]);
    INP.pd_57 <= #( model_times.twd_pd[57])  lmcver.filter(pd[57]);
    INP.pd_58 <= #( model_times.twd_pd[58])  lmcver.filter(pd[58]);
    INP.pd_59 <= #( model_times.twd_pd[59])  lmcver.filter(pd[59]);
    INP.pd_60 <= #( model_times.twd_pd[60])  lmcver.filter(pd[60]);
    INP.pd_61 <= #( model_times.twd_pd[61])  lmcver.filter(pd[61]);
    INP.pd_62 <= #( model_times.twd_pd[62])  lmcver.filter(pd[62]);
    INP.pd_63 <= #( model_times.twd_pd[63])  lmcver.filter(pd[63]);
  end

  @(pd);

end // pd_data_in


always begin: pbenn_data_in
  if (model_flags.annotated !== `true) begin
    INP.pbenn_4 <= #( 0)  lmcver.filter(pbenn[4]);
    INP.pbenn_5 <= #( 0)  lmcver.filter(pbenn[5]);
    INP.pbenn_6 <= #( 0)  lmcver.filter(pbenn[6]);
    INP.pbenn_7 <= #( 0)  lmcver.filter(pbenn[7]);
  end else begin
    INP.pbenn_4 <= #( model_times.twd_pbenn[4])  lmcver.filter(pbenn[4]);
    INP.pbenn_5 <= #( model_times.twd_pbenn[5])  lmcver.filter(pbenn[5]);
    INP.pbenn_6 <= #( model_times.twd_pbenn[6])  lmcver.filter(pbenn[6]);
    INP.pbenn_7 <= #( model_times.twd_pbenn[7])  lmcver.filter(pbenn[7]);
  end

  @(pbenn);

end // pbenn_data_in


always begin: ppar64_data_in
  if (model_flags.annotated !== `true) begin
    INP.ppar64 <= #( 0)  lmcver.filter(ppar64);
  end else begin
    INP.ppar64 <= #( model_times.twd_ppar64)  lmcver.filter(ppar64);
  end

  @(ppar64);

end // ppar64_data_in


always begin: preq64nn_data_in
  if (model_flags.annotated !== `true) begin
    INP.preq64nn <= #( 0)  lmcver.filter(preq64nn);
  end else begin
    INP.preq64nn <= #( model_times.twd_preq64nn)  lmcver.filter(preq64nn);
  end

  @(preq64nn);

end // preq64nn_data_in


always begin: pack64nn_data_in
  if (model_flags.annotated !== `true) begin
    INP.pack64nn <= #( 0)  lmcver.filter(pack64nn);
  end else begin
    INP.pack64nn <= #( model_times.twd_pack64nn)  lmcver.filter(pack64nn);
  end

  @(pack64nn);

end // pack64nn_data_in


always begin: plocknn_data_in
  if (model_flags.annotated !== `true) begin
    INP.plocknn <= #( 0)  lmcver.filter(plocknn);
  end else begin
    INP.plocknn <= #( model_times.twd_plocknn)  lmcver.filter(plocknn);
  end

  @(plocknn);

end // plocknn_data_in


always begin: pperrnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.pperrnn <= #( 0)  lmcver.filter(pperrnn);
  end else begin
    INP.pperrnn <= #( model_times.twd_pperrnn)  lmcver.filter(pperrnn);
  end

  @(pperrnn);

end // pperrnn_data_in


always begin: pserrnn_data_in
  if (model_flags.annotated !== `true) begin
    INP.pserrnn <= #( 0)  lmcver.filter(pserrnn);
  end else begin
    INP.pserrnn <= #( model_times.twd_pserrnn)  lmcver.filter(pserrnn);
  end

  @(pserrnn);

end // pserrnn_data_in


always begin: psbonn_data_in
  if (model_flags.annotated !== `true) begin
    INP.psbonn <= #( 0)  lmcver.filter(psbonn);
  end else begin
    INP.psbonn <= #( model_times.twd_psbonn)  lmcver.filter(psbonn);
  end

  @(psbonn);

end // psbonn_data_in


always begin: psdone_data_in
  if (model_flags.annotated !== `true) begin
    INP.psdone <= #( 0)  lmcver.filter(psdone);
  end else begin
    INP.psdone <= #( model_times.twd_psdone)  lmcver.filter(psdone);
  end

  @(psdone);

end // psdone_data_in

 //---------------------------------
 //---------------------------------
 //-- This block terminates the   --
 //-- code which will be replaced --
 //-- by VGEN on future reruns    --
 //-- Enter USER code only after  --
 //-- this comment block          --
 //---------------------------------
 //--**--**--**--**--**--**--**--**--

parameter fm_data_in1     = 1;
parameter fm_data_in2     = 5190;

reg [fm_data_in1:fm_data_in2] fm_cmd; // IN    fm_data_in

parameter incode1      = 1;
parameter incode2      = 32;
parameter instrobe    = 33;

parameter inrtype1	= 34;
parameter inrtype2	= 65;
parameter inbyten1	= 66;
parameter inbyten2	= 129;
parameter inexpected_data1	= 130;
parameter inexpected_data2	= 193;
parameter indelay1	= 194;
parameter indelay2	= 225;
parameter inaddr1	= 226;
parameter inaddr2	= 257;
parameter intc1	= 258;
parameter intc2	= 289;
parameter inlock1	= 290;
parameter inlock2	= 290;
parameter inwtype1	= 291;
parameter inwtype2	= 322;
parameter indata1	= 323;
parameter indata2	= 386;
parameter inctype1	= 387;
parameter inctype2	= 418;
parameter invalue_vector1	= 419;
parameter invalue_vector2	= 482;
parameter invalue_int1	= 483;
parameter invalue_int2	= 514;
parameter invalue_bol	= 515;

parameter inmode1	= 516;
parameter inmode2	= 547;
parameter invalue_time1	= 548;
parameter invalue_time2	= 579;
parameter inwait_num1	= 580;
parameter inwait_num2	= 611;
parameter inwakeup_num1	= 612;
parameter inwakeup_num2	= 643;
parameter incycles1	= 644;
parameter incycles2	= 675;
parameter insleep_num1	= 676;
parameter insleep_num2	= 707;
parameter intvalue1	= 708;
parameter intvalue2	= 739;
parameter inmessage1	= 740;
parameter inmessage2	= 1763;
parameter inmlevel1	= 1764;
parameter inmlevel2	= 1795;
parameter infunction_name1	= 1796;
parameter infunction_name2	= 2819;
parameter instype1	= 2820;
parameter instype2	= 2851;
parameter inobject_name1	= 2852;
parameter inobject_name2	= 2883;
parameter inobject_value1	= 2884;
parameter inobject_value2	= 2947;
parameter indelay_val1	= 2948;
parameter indelay_val2	= 2979;
parameter intarget_id1	= 2980;
parameter intarget_id2	= 3011;
parameter inmsg1	= 3012;
parameter inmsg2	= 4035;

parameter inbuffer_f	= 4036;
parameter innotify_f	= 4037;
parameter inreturn_data_f	= 4038;

parameter innode_name1  = 4039;
parameter innode_name2 = 5062;
parameter innode_value1  = 5063;
parameter innode_value2 = 5126;
parameter innode_mask1  = 5127;
parameter innode_mask2 = 5190;

parameter cbfield1          =   1;
parameter cbfield2          =   2182;

parameter cbinitiator_id1   =   1;
parameter cbinitiator_id2   =   32;
parameter cbtarget_id1      =   33;
parameter cbtarget_id2      =   64;
parameter cbtransaction1    =   65;
parameter cbtransaction2    =   96;
parameter cbbuffer_f       =   97;
parameter cbnotify_f       =   98;
parameter cbreturn_data_f  =   99;
parameter cbdone_f         =   100;
parameter cbdvalid_f       =   101;
parameter cbbuffer_avail_f =   102;

parameter cbmsg1            =   103;
parameter cbmsg2            =   2150;
parameter cbtag1            =   2151;
parameter cbtag2            =   2182;

parameter fmcode1    = 2183;
parameter fmcode2    = 2214;
parameter fmstrobe    = 2215;

parameter fmrtype1	= 2216;
parameter fmrtype2	= 2247;
parameter fmbyten1	= 2248;
parameter fmbyten2	= 2311;
parameter fmexpected_data1	= 2312;
parameter fmexpected_data2	= 2375;
parameter fmdelay1	= 2376;
parameter fmdelay2	= 2407;
parameter fmaddr1	= 2408;
parameter fmaddr2	= 2439;
parameter fmtc1	= 2440;
parameter fmtc2	= 2471;
parameter fmlock1	= 2472;
parameter fmlock2	= 2472;
parameter fmwtype1	= 2473;
parameter fmwtype2	= 2504;
parameter fmdata1	= 2505;
parameter fmdata2	= 2568;
parameter fmctype1	= 2569;
parameter fmctype2	= 2600;
parameter fmvalue_vector1	= 2601;
parameter fmvalue_vector2	= 2664;
parameter fmvalue_int1	= 2665;
parameter fmvalue_int2	= 2696;
parameter fmvalue_bol	= 2697;

parameter fmmode1	= 2698;
parameter fmmode2	= 2729;
parameter fmvalue_time1	= 2730;
parameter fmvalue_time2	= 2761;
parameter fmwait_num1	= 2762;
parameter fmwait_num2	= 2793;
parameter fmwakeup_num1	= 2794;
parameter fmwakeup_num2	= 2825;
parameter fmcycles1	= 2826;
parameter fmcycles2	= 2857;
parameter fmsleep_num1	= 2858;
parameter fmsleep_num2	= 2889;
parameter fmtvalue1	= 2890;
parameter fmtvalue2	= 2921;
parameter fmmessage1	= 2922;
parameter fmmessage2	= 3945;
parameter fmmlevel1	= 3946;
parameter fmmlevel2	= 3977;
parameter fmfunction_name1	= 3978;
parameter fmfunction_name2	= 5001;
parameter fmstype1	= 5002;
parameter fmstype2	= 5033;
parameter fmobject_name1	= 5034;
parameter fmobject_name2	= 5065;
parameter fmobject_value1	= 5066;
parameter fmobject_value2	= 5129;
parameter fmdelay_val1	= 5130;
parameter fmdelay_val2	= 5161;
parameter fmtarget_id1	= 5162;
parameter fmtarget_id2	= 5193;
parameter fmmsg1	= 5194;
parameter fmmsg2	= 6217;

parameter fmbuffer_f	= 6218;
parameter fmnotify_f	= 6219;
parameter fmreturn_data_f	= 6220;

parameter fmnode_name1  = 6221;
parameter fmnode_name2 = 7244;
parameter fmnode_value1  = 7245;
parameter fmnode_value2 = 7308;
parameter fmnode_mask1  = 7309;
parameter fmnode_mask2 = 7372;

parameter fmfield1	= 2183;
parameter fmfield2	= 7372;

parameter ctrl_store1	= 1;
parameter ctrl_store2	= 7372;


parameter ackinitiator_id1 = 1;
parameter ackinitiator_id2 = 32;
parameter acktarget_id1    = 33;
parameter acktarget_id2    = 64;
parameter ackack_val1      = 65;
parameter ackack_val2      = 96;


 //type object_name_types is :
parameter  pad_bus = 0;
parameter  pad_0 = 1;
parameter  pad_1 = 2;
parameter  pad_2 = 3;
parameter  pad_3 = 4;
parameter  pad_4 = 5;
parameter  pad_5 = 6;
parameter  pad_6 = 7;
parameter  pad_7 = 8;
parameter  pad_8 = 9;
parameter  pad_9 = 10;
parameter  pad_10 = 11;
parameter  pad_11 = 12;
parameter  pad_12 = 13;
parameter  pad_13 = 14;
parameter  pad_14 = 15;
parameter  pad_15 = 16;
parameter  pad_16 = 17;
parameter  pad_17 = 18;
parameter  pad_18 = 19;
parameter  pad_19 = 20;
parameter  pad_20 = 21;
parameter  pad_21 = 22;
parameter  pad_22 = 23;
parameter  pad_23 = 24;
parameter  pad_24 = 25;
parameter  pad_25 = 26;
parameter  pad_26 = 27;
parameter  pad_27 = 28;
parameter  pad_28 = 29;
parameter  pad_29 = 30;
parameter  pad_30 = 31;
parameter  pad_31 = 32;
parameter  pcxbenn_bus = 33;
parameter  pcxbenn_0 = 34;
parameter  pcxbenn_1 = 35;
parameter  pcxbenn_2 = 36;
parameter  pcxbenn_3 = 37;
parameter  ppar_pin = 38;
parameter  pframenn_pin = 39;
parameter  ptrdynn_pin = 40;
parameter  pirdynn_pin = 41;
parameter  pstopnn_pin = 42;
parameter  pdevselnn_pin = 43;
parameter  pidsel_pin = 44;
parameter  preqnn_pin = 45;
parameter  pgntnn_pin = 46;
parameter  pclk_pin = 47;
parameter  pclkrunnn_pin = 48;
parameter  prstnn_pin = 49;
parameter  pd_bus = 50;
parameter  pd_32 = 51;
parameter  pd_33 = 52;
parameter  pd_34 = 53;
parameter  pd_35 = 54;
parameter  pd_36 = 55;
parameter  pd_37 = 56;
parameter  pd_38 = 57;
parameter  pd_39 = 58;
parameter  pd_40 = 59;
parameter  pd_41 = 60;
parameter  pd_42 = 61;
parameter  pd_43 = 62;
parameter  pd_44 = 63;
parameter  pd_45 = 64;
parameter  pd_46 = 65;
parameter  pd_47 = 66;
parameter  pd_48 = 67;
parameter  pd_49 = 68;
parameter  pd_50 = 69;
parameter  pd_51 = 70;
parameter  pd_52 = 71;
parameter  pd_53 = 72;
parameter  pd_54 = 73;
parameter  pd_55 = 74;
parameter  pd_56 = 75;
parameter  pd_57 = 76;
parameter  pd_58 = 77;
parameter  pd_59 = 78;
parameter  pd_60 = 79;
parameter  pd_61 = 80;
parameter  pd_62 = 81;
parameter  pd_63 = 82;
parameter  pbenn_bus = 83;
parameter  pbenn_4 = 84;
parameter  pbenn_5 = 85;
parameter  pbenn_6 = 86;
parameter  pbenn_7 = 87;
parameter  ppar64_pin = 88;
parameter  preq64nn_pin = 89;
parameter  pack64nn_pin = 90;
parameter  plocknn_pin = 91;
parameter  pperrnn_pin = 92;
parameter  pserrnn_pin = 93;
parameter  psbonn_pin = 94;
parameter  psdone_pin = 95;

 //type set_types is :
parameter  mpin = 96;
parameter  mbus = 97;
parameter  mregister = 98;

 //type address_mode is :
parameter  linear = 99;
parameter  wrap = 101;
parameter  reserved = 102;

 //type read_types is :
parameter  intr_ack = 103;
parameter  io_read = 104;
parameter  rsvd4 = 105;
parameter  mem_read = 106;
parameter  rsvd8 = 107;
parameter  config_read = 108;
Next12345678910
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Aug 19 11:56:57 1999
From: ../../../sparc_v8/system/lmc/rtl/pcimaster_fm.v

Verilog converted to html by v2html 5.0 (written by Costas Calamvokis).Help