HierarchyFilesModulesSignalsTasksFunctionsHelp
Prev123456789101112
	MflipflopR MflipflopR_40_13 (out[13], din[13], clock, enable_l,reset);
	MflipflopR MflipflopR_40_14 (out[14], din[14], clock, enable_l,reset);
	MflipflopR MflipflopR_40_15 (out[15], din[15], clock, enable_l,reset);
	MflipflopR MflipflopR_40_16 (out[16], din[16], clock, enable_l,reset);
	MflipflopR MflipflopR_40_17 (out[17], din[17], clock, enable_l,reset);
	MflipflopR MflipflopR_40_18 (out[18], din[18], clock, enable_l,reset);
	MflipflopR MflipflopR_40_19 (out[19], din[19], clock, enable_l,reset);
	MflipflopR MflipflopR_40_20 (out[20], din[20], clock, enable_l,reset);
	MflipflopR MflipflopR_40_21 (out[21], din[21], clock, enable_l,reset);
	MflipflopR MflipflopR_40_22 (out[22], din[22], clock, enable_l,reset);
	MflipflopR MflipflopR_40_23 (out[23], din[23], clock, enable_l,reset);
	MflipflopR MflipflopR_40_24 (out[24], din[24], clock, enable_l,reset);
	MflipflopR MflipflopR_40_25 (out[25], din[25], clock, enable_l,reset);
	MflipflopR MflipflopR_40_26 (out[26], din[26], clock, enable_l,reset);
	MflipflopR MflipflopR_40_27 (out[27], din[27], clock, enable_l,reset);
	MflipflopR MflipflopR_40_28 (out[28], din[28], clock, enable_l,reset);
	MflipflopR MflipflopR_40_29 (out[29], din[29], clock, enable_l,reset);
	MflipflopR MflipflopR_40_30 (out[30], din[30], clock, enable_l,reset);
	MflipflopR MflipflopR_40_31 (out[31], din[31], clock, enable_l,reset);
	MflipflopR MflipflopR_40_32 (out[32], din[32], clock, enable_l,reset);
	MflipflopR MflipflopR_40_33 (out[33], din[33], clock, enable_l,reset);
	MflipflopR MflipflopR_40_34 (out[34], din[34], clock, enable_l,reset);
	MflipflopR MflipflopR_40_35 (out[35], din[35], clock, enable_l,reset);
	MflipflopR MflipflopR_40_36 (out[36], din[36], clock, enable_l,reset);
	MflipflopR MflipflopR_40_37 (out[37], din[37], clock, enable_l,reset);
	MflipflopR MflipflopR_40_38 (out[38], din[38], clock, enable_l,reset);
	MflipflopR MflipflopR_40_39 (out[39], din[39], clock, enable_l,reset);
	MflipflopR MflipflopR_40_40 (out[40], din[40], clock, enable_l,reset);

endmodule

[Up: dp_mmu tlb_cam_reg_42]
module MflipflopR_42 (out, din, clock, enable_l,reset) ;
output [41:0] out ;
input [41:0]  din ;
input   clock ;
input   enable_l ;
input  reset ;

	MflipflopR MflipflopR_41_0 (out[0], din[0], clock, enable_l,reset);
	MflipflopR MflipflopR_41_1 (out[1], din[1], clock, enable_l,reset);
	MflipflopR MflipflopR_41_2 (out[2], din[2], clock, enable_l,reset);
	MflipflopR MflipflopR_41_3 (out[3], din[3], clock, enable_l,reset);
	MflipflopR MflipflopR_41_4 (out[4], din[4], clock, enable_l,reset);
	MflipflopR MflipflopR_41_5 (out[5], din[5], clock, enable_l,reset);
	MflipflopR MflipflopR_41_6 (out[6], din[6], clock, enable_l,reset);
	MflipflopR MflipflopR_41_7 (out[7], din[7], clock, enable_l,reset);
	MflipflopR MflipflopR_41_8 (out[8], din[8], clock, enable_l,reset);
	MflipflopR MflipflopR_41_9 (out[9], din[9], clock, enable_l,reset);
	MflipflopR MflipflopR_41_10 (out[10], din[10], clock, enable_l,reset);
	MflipflopR MflipflopR_41_11 (out[11], din[11], clock, enable_l,reset);
	MflipflopR MflipflopR_41_12 (out[12], din[12], clock, enable_l,reset);
	MflipflopR MflipflopR_41_13 (out[13], din[13], clock, enable_l,reset);
	MflipflopR MflipflopR_41_14 (out[14], din[14], clock, enable_l,reset);
	MflipflopR MflipflopR_41_15 (out[15], din[15], clock, enable_l,reset);
	MflipflopR MflipflopR_41_16 (out[16], din[16], clock, enable_l,reset);
	MflipflopR MflipflopR_41_17 (out[17], din[17], clock, enable_l,reset);
	MflipflopR MflipflopR_41_18 (out[18], din[18], clock, enable_l,reset);
	MflipflopR MflipflopR_41_19 (out[19], din[19], clock, enable_l,reset);
	MflipflopR MflipflopR_41_20 (out[20], din[20], clock, enable_l,reset);
	MflipflopR MflipflopR_41_21 (out[21], din[21], clock, enable_l,reset);
	MflipflopR MflipflopR_41_22 (out[22], din[22], clock, enable_l,reset);
	MflipflopR MflipflopR_41_23 (out[23], din[23], clock, enable_l,reset);
	MflipflopR MflipflopR_41_24 (out[24], din[24], clock, enable_l,reset);
	MflipflopR MflipflopR_41_25 (out[25], din[25], clock, enable_l,reset);
	MflipflopR MflipflopR_41_26 (out[26], din[26], clock, enable_l,reset);
	MflipflopR MflipflopR_41_27 (out[27], din[27], clock, enable_l,reset);
	MflipflopR MflipflopR_41_28 (out[28], din[28], clock, enable_l,reset);
	MflipflopR MflipflopR_41_29 (out[29], din[29], clock, enable_l,reset);
	MflipflopR MflipflopR_41_30 (out[30], din[30], clock, enable_l,reset);
	MflipflopR MflipflopR_41_31 (out[31], din[31], clock, enable_l,reset);
	MflipflopR MflipflopR_41_32 (out[32], din[32], clock, enable_l,reset);
	MflipflopR MflipflopR_41_33 (out[33], din[33], clock, enable_l,reset);
	MflipflopR MflipflopR_41_34 (out[34], din[34], clock, enable_l,reset);
	MflipflopR MflipflopR_41_35 (out[35], din[35], clock, enable_l,reset);
	MflipflopR MflipflopR_41_36 (out[36], din[36], clock, enable_l,reset);
	MflipflopR MflipflopR_41_37 (out[37], din[37], clock, enable_l,reset);
	MflipflopR MflipflopR_41_38 (out[38], din[38], clock, enable_l,reset);
	MflipflopR MflipflopR_41_39 (out[39], din[39], clock, enable_l,reset);
	MflipflopR MflipflopR_41_40 (out[40], din[40], clock, enable_l,reset);
	MflipflopR MflipflopR_41_41 (out[41], din[41], clock, enable_l,reset);

endmodule

module MflipflopR_43 (out, din, clock, enable_l,reset) ;
output [42:0] out ;
input [42:0]  din ;
input   clock ;
input   enable_l ;
input  reset ;

	MflipflopR MflipflopR_42_0 (out[0], din[0], clock, enable_l,reset);
	MflipflopR MflipflopR_42_1 (out[1], din[1], clock, enable_l,reset);
	MflipflopR MflipflopR_42_2 (out[2], din[2], clock, enable_l,reset);
	MflipflopR MflipflopR_42_3 (out[3], din[3], clock, enable_l,reset);
	MflipflopR MflipflopR_42_4 (out[4], din[4], clock, enable_l,reset);
	MflipflopR MflipflopR_42_5 (out[5], din[5], clock, enable_l,reset);
	MflipflopR MflipflopR_42_6 (out[6], din[6], clock, enable_l,reset);
	MflipflopR MflipflopR_42_7 (out[7], din[7], clock, enable_l,reset);
	MflipflopR MflipflopR_42_8 (out[8], din[8], clock, enable_l,reset);
	MflipflopR MflipflopR_42_9 (out[9], din[9], clock, enable_l,reset);
	MflipflopR MflipflopR_42_10 (out[10], din[10], clock, enable_l,reset);
	MflipflopR MflipflopR_42_11 (out[11], din[11], clock, enable_l,reset);
	MflipflopR MflipflopR_42_12 (out[12], din[12], clock, enable_l,reset);
	MflipflopR MflipflopR_42_13 (out[13], din[13], clock, enable_l,reset);
	MflipflopR MflipflopR_42_14 (out[14], din[14], clock, enable_l,reset);
	MflipflopR MflipflopR_42_15 (out[15], din[15], clock, enable_l,reset);
	MflipflopR MflipflopR_42_16 (out[16], din[16], clock, enable_l,reset);
	MflipflopR MflipflopR_42_17 (out[17], din[17], clock, enable_l,reset);
	MflipflopR MflipflopR_42_18 (out[18], din[18], clock, enable_l,reset);
	MflipflopR MflipflopR_42_19 (out[19], din[19], clock, enable_l,reset);
	MflipflopR MflipflopR_42_20 (out[20], din[20], clock, enable_l,reset);
	MflipflopR MflipflopR_42_21 (out[21], din[21], clock, enable_l,reset);
	MflipflopR MflipflopR_42_22 (out[22], din[22], clock, enable_l,reset);
	MflipflopR MflipflopR_42_23 (out[23], din[23], clock, enable_l,reset);
	MflipflopR MflipflopR_42_24 (out[24], din[24], clock, enable_l,reset);
	MflipflopR MflipflopR_42_25 (out[25], din[25], clock, enable_l,reset);
	MflipflopR MflipflopR_42_26 (out[26], din[26], clock, enable_l,reset);
	MflipflopR MflipflopR_42_27 (out[27], din[27], clock, enable_l,reset);
	MflipflopR MflipflopR_42_28 (out[28], din[28], clock, enable_l,reset);
	MflipflopR MflipflopR_42_29 (out[29], din[29], clock, enable_l,reset);
	MflipflopR MflipflopR_42_30 (out[30], din[30], clock, enable_l,reset);
	MflipflopR MflipflopR_42_31 (out[31], din[31], clock, enable_l,reset);
	MflipflopR MflipflopR_42_32 (out[32], din[32], clock, enable_l,reset);
	MflipflopR MflipflopR_42_33 (out[33], din[33], clock, enable_l,reset);
	MflipflopR MflipflopR_42_34 (out[34], din[34], clock, enable_l,reset);
	MflipflopR MflipflopR_42_35 (out[35], din[35], clock, enable_l,reset);
	MflipflopR MflipflopR_42_36 (out[36], din[36], clock, enable_l,reset);
	MflipflopR MflipflopR_42_37 (out[37], din[37], clock, enable_l,reset);
	MflipflopR MflipflopR_42_38 (out[38], din[38], clock, enable_l,reset);
	MflipflopR MflipflopR_42_39 (out[39], din[39], clock, enable_l,reset);
	MflipflopR MflipflopR_42_40 (out[40], din[40], clock, enable_l,reset);
	MflipflopR MflipflopR_42_41 (out[41], din[41], clock, enable_l,reset);
	MflipflopR MflipflopR_42_42 (out[42], din[42], clock, enable_l,reset);

endmodule

module MflipflopR_44 (out, din, clock, enable_l,reset) ;
output [43:0] out ;
input [43:0]  din ;
input   clock ;
input   enable_l ;
input  reset ;

	MflipflopR MflipflopR_43_0 (out[0], din[0], clock, enable_l,reset);
	MflipflopR MflipflopR_43_1 (out[1], din[1], clock, enable_l,reset);
	MflipflopR MflipflopR_43_2 (out[2], din[2], clock, enable_l,reset);
	MflipflopR MflipflopR_43_3 (out[3], din[3], clock, enable_l,reset);
	MflipflopR MflipflopR_43_4 (out[4], din[4], clock, enable_l,reset);
	MflipflopR MflipflopR_43_5 (out[5], din[5], clock, enable_l,reset);
	MflipflopR MflipflopR_43_6 (out[6], din[6], clock, enable_l,reset);
	MflipflopR MflipflopR_43_7 (out[7], din[7], clock, enable_l,reset);
	MflipflopR MflipflopR_43_8 (out[8], din[8], clock, enable_l,reset);
	MflipflopR MflipflopR_43_9 (out[9], din[9], clock, enable_l,reset);
	MflipflopR MflipflopR_43_10 (out[10], din[10], clock, enable_l,reset);
	MflipflopR MflipflopR_43_11 (out[11], din[11], clock, enable_l,reset);
	MflipflopR MflipflopR_43_12 (out[12], din[12], clock, enable_l,reset);
	MflipflopR MflipflopR_43_13 (out[13], din[13], clock, enable_l,reset);
	MflipflopR MflipflopR_43_14 (out[14], din[14], clock, enable_l,reset);
	MflipflopR MflipflopR_43_15 (out[15], din[15], clock, enable_l,reset);
	MflipflopR MflipflopR_43_16 (out[16], din[16], clock, enable_l,reset);
	MflipflopR MflipflopR_43_17 (out[17], din[17], clock, enable_l,reset);
	MflipflopR MflipflopR_43_18 (out[18], din[18], clock, enable_l,reset);
	MflipflopR MflipflopR_43_19 (out[19], din[19], clock, enable_l,reset);
	MflipflopR MflipflopR_43_20 (out[20], din[20], clock, enable_l,reset);
	MflipflopR MflipflopR_43_21 (out[21], din[21], clock, enable_l,reset);
	MflipflopR MflipflopR_43_22 (out[22], din[22], clock, enable_l,reset);
	MflipflopR MflipflopR_43_23 (out[23], din[23], clock, enable_l,reset);
	MflipflopR MflipflopR_43_24 (out[24], din[24], clock, enable_l,reset);
	MflipflopR MflipflopR_43_25 (out[25], din[25], clock, enable_l,reset);
	MflipflopR MflipflopR_43_26 (out[26], din[26], clock, enable_l,reset);
	MflipflopR MflipflopR_43_27 (out[27], din[27], clock, enable_l,reset);
	MflipflopR MflipflopR_43_28 (out[28], din[28], clock, enable_l,reset);
	MflipflopR MflipflopR_43_29 (out[29], din[29], clock, enable_l,reset);
	MflipflopR MflipflopR_43_30 (out[30], din[30], clock, enable_l,reset);
	MflipflopR MflipflopR_43_31 (out[31], din[31], clock, enable_l,reset);
	MflipflopR MflipflopR_43_32 (out[32], din[32], clock, enable_l,reset);
	MflipflopR MflipflopR_43_33 (out[33], din[33], clock, enable_l,reset);
	MflipflopR MflipflopR_43_34 (out[34], din[34], clock, enable_l,reset);
	MflipflopR MflipflopR_43_35 (out[35], din[35], clock, enable_l,reset);
	MflipflopR MflipflopR_43_36 (out[36], din[36], clock, enable_l,reset);
	MflipflopR MflipflopR_43_37 (out[37], din[37], clock, enable_l,reset);
	MflipflopR MflipflopR_43_38 (out[38], din[38], clock, enable_l,reset);
	MflipflopR MflipflopR_43_39 (out[39], din[39], clock, enable_l,reset);
	MflipflopR MflipflopR_43_40 (out[40], din[40], clock, enable_l,reset);
	MflipflopR MflipflopR_43_41 (out[41], din[41], clock, enable_l,reset);
	MflipflopR MflipflopR_43_42 (out[42], din[42], clock, enable_l,reset);
	MflipflopR MflipflopR_43_43 (out[43], din[43], clock, enable_l,reset);

endmodule

module MflipflopR_45 (out, din, clock, enable_l,reset) ;
output [44:0] out ;
input [44:0]  din ;
input   clock ;
input   enable_l ;
input  reset ;

	MflipflopR MflipflopR_44_0 (out[0], din[0], clock, enable_l,reset);
	MflipflopR MflipflopR_44_1 (out[1], din[1], clock, enable_l,reset);
	MflipflopR MflipflopR_44_2 (out[2], din[2], clock, enable_l,reset);
	MflipflopR MflipflopR_44_3 (out[3], din[3], clock, enable_l,reset);
	MflipflopR MflipflopR_44_4 (out[4], din[4], clock, enable_l,reset);
	MflipflopR MflipflopR_44_5 (out[5], din[5], clock, enable_l,reset);
	MflipflopR MflipflopR_44_6 (out[6], din[6], clock, enable_l,reset);
	MflipflopR MflipflopR_44_7 (out[7], din[7], clock, enable_l,reset);
	MflipflopR MflipflopR_44_8 (out[8], din[8], clock, enable_l,reset);
	MflipflopR MflipflopR_44_9 (out[9], din[9], clock, enable_l,reset);
	MflipflopR MflipflopR_44_10 (out[10], din[10], clock, enable_l,reset);
	MflipflopR MflipflopR_44_11 (out[11], din[11], clock, enable_l,reset);
	MflipflopR MflipflopR_44_12 (out[12], din[12], clock, enable_l,reset);
	MflipflopR MflipflopR_44_13 (out[13], din[13], clock, enable_l,reset);
	MflipflopR MflipflopR_44_14 (out[14], din[14], clock, enable_l,reset);
	MflipflopR MflipflopR_44_15 (out[15], din[15], clock, enable_l,reset);
	MflipflopR MflipflopR_44_16 (out[16], din[16], clock, enable_l,reset);
	MflipflopR MflipflopR_44_17 (out[17], din[17], clock, enable_l,reset);
	MflipflopR MflipflopR_44_18 (out[18], din[18], clock, enable_l,reset);
	MflipflopR MflipflopR_44_19 (out[19], din[19], clock, enable_l,reset);
	MflipflopR MflipflopR_44_20 (out[20], din[20], clock, enable_l,reset);
	MflipflopR MflipflopR_44_21 (out[21], din[21], clock, enable_l,reset);
	MflipflopR MflipflopR_44_22 (out[22], din[22], clock, enable_l,reset);
	MflipflopR MflipflopR_44_23 (out[23], din[23], clock, enable_l,reset);
	MflipflopR MflipflopR_44_24 (out[24], din[24], clock, enable_l,reset);
	MflipflopR MflipflopR_44_25 (out[25], din[25], clock, enable_l,reset);
	MflipflopR MflipflopR_44_26 (out[26], din[26], clock, enable_l,reset);
	MflipflopR MflipflopR_44_27 (out[27], din[27], clock, enable_l,reset);
	MflipflopR MflipflopR_44_28 (out[28], din[28], clock, enable_l,reset);
	MflipflopR MflipflopR_44_29 (out[29], din[29], clock, enable_l,reset);
	MflipflopR MflipflopR_44_30 (out[30], din[30], clock, enable_l,reset);
	MflipflopR MflipflopR_44_31 (out[31], din[31], clock, enable_l,reset);
	MflipflopR MflipflopR_44_32 (out[32], din[32], clock, enable_l,reset);
	MflipflopR MflipflopR_44_33 (out[33], din[33], clock, enable_l,reset);
	MflipflopR MflipflopR_44_34 (out[34], din[34], clock, enable_l,reset);
	MflipflopR MflipflopR_44_35 (out[35], din[35], clock, enable_l,reset);
	MflipflopR MflipflopR_44_36 (out[36], din[36], clock, enable_l,reset);
	MflipflopR MflipflopR_44_37 (out[37], din[37], clock, enable_l,reset);
	MflipflopR MflipflopR_44_38 (out[38], din[38], clock, enable_l,reset);
	MflipflopR MflipflopR_44_39 (out[39], din[39], clock, enable_l,reset);
	MflipflopR MflipflopR_44_40 (out[40], din[40], clock, enable_l,reset);
	MflipflopR MflipflopR_44_41 (out[41], din[41], clock, enable_l,reset);
	MflipflopR MflipflopR_44_42 (out[42], din[42], clock, enable_l,reset);
	MflipflopR MflipflopR_44_43 (out[43], din[43], clock, enable_l,reset);
	MflipflopR MflipflopR_44_44 (out[44], din[44], clock, enable_l,reset);

endmodule

module MflipflopR_46 (out, din, clock, enable_l,reset) ;
output [45:0] out ;
input [45:0]  din ;
input   clock ;
input   enable_l ;
input  reset ;

	MflipflopR MflipflopR_45_0 (out[0], din[0], clock, enable_l,reset);
	MflipflopR MflipflopR_45_1 (out[1], din[1], clock, enable_l,reset);
	MflipflopR MflipflopR_45_2 (out[2], din[2], clock, enable_l,reset);
	MflipflopR MflipflopR_45_3 (out[3], din[3], clock, enable_l,reset);
	MflipflopR MflipflopR_45_4 (out[4], din[4], clock, enable_l,reset);
	MflipflopR MflipflopR_45_5 (out[5], din[5], clock, enable_l,reset);
	MflipflopR MflipflopR_45_6 (out[6], din[6], clock, enable_l,reset);
	MflipflopR MflipflopR_45_7 (out[7], din[7], clock, enable_l,reset);
	MflipflopR MflipflopR_45_8 (out[8], din[8], clock, enable_l,reset);
	MflipflopR MflipflopR_45_9 (out[9], din[9], clock, enable_l,reset);
	MflipflopR MflipflopR_45_10 (out[10], din[10], clock, enable_l,reset);
	MflipflopR MflipflopR_45_11 (out[11], din[11], clock, enable_l,reset);
	MflipflopR MflipflopR_45_12 (out[12], din[12], clock, enable_l,reset);
	MflipflopR MflipflopR_45_13 (out[13], din[13], clock, enable_l,reset);
	MflipflopR MflipflopR_45_14 (out[14], din[14], clock, enable_l,reset);
	MflipflopR MflipflopR_45_15 (out[15], din[15], clock, enable_l,reset);
	MflipflopR MflipflopR_45_16 (out[16], din[16], clock, enable_l,reset);
	MflipflopR MflipflopR_45_17 (out[17], din[17], clock, enable_l,reset);
	MflipflopR MflipflopR_45_18 (out[18], din[18], clock, enable_l,reset);
	MflipflopR MflipflopR_45_19 (out[19], din[19], clock, enable_l,reset);
	MflipflopR MflipflopR_45_20 (out[20], din[20], clock, enable_l,reset);
	MflipflopR MflipflopR_45_21 (out[21], din[21], clock, enable_l,reset);
	MflipflopR MflipflopR_45_22 (out[22], din[22], clock, enable_l,reset);
	MflipflopR MflipflopR_45_23 (out[23], din[23], clock, enable_l,reset);
	MflipflopR MflipflopR_45_24 (out[24], din[24], clock, enable_l,reset);
	MflipflopR MflipflopR_45_25 (out[25], din[25], clock, enable_l,reset);
	MflipflopR MflipflopR_45_26 (out[26], din[26], clock, enable_l,reset);
	MflipflopR MflipflopR_45_27 (out[27], din[27], clock, enable_l,reset);
	MflipflopR MflipflopR_45_28 (out[28], din[28], clock, enable_l,reset);
	MflipflopR MflipflopR_45_29 (out[29], din[29], clock, enable_l,reset);
	MflipflopR MflipflopR_45_30 (out[30], din[30], clock, enable_l,reset);
	MflipflopR MflipflopR_45_31 (out[31], din[31], clock, enable_l,reset);
	MflipflopR MflipflopR_45_32 (out[32], din[32], clock, enable_l,reset);
	MflipflopR MflipflopR_45_33 (out[33], din[33], clock, enable_l,reset);
	MflipflopR MflipflopR_45_34 (out[34], din[34], clock, enable_l,reset);
	MflipflopR MflipflopR_45_35 (out[35], din[35], clock, enable_l,reset);
	MflipflopR MflipflopR_45_36 (out[36], din[36], clock, enable_l,reset);
	MflipflopR MflipflopR_45_37 (out[37], din[37], clock, enable_l,reset);
	MflipflopR MflipflopR_45_38 (out[38], din[38], clock, enable_l,reset);
	MflipflopR MflipflopR_45_39 (out[39], din[39], clock, enable_l,reset);
	MflipflopR MflipflopR_45_40 (out[40], din[40], clock, enable_l,reset);
	MflipflopR MflipflopR_45_41 (out[41], din[41], clock, enable_l,reset);
	MflipflopR MflipflopR_45_42 (out[42], din[42], clock, enable_l,reset);
	MflipflopR MflipflopR_45_43 (out[43], din[43], clock, enable_l,reset);
	MflipflopR MflipflopR_45_44 (out[44], din[44], clock, enable_l,reset);
	MflipflopR MflipflopR_45_45 (out[45], din[45], clock, enable_l,reset);

endmodule

module MflipflopR_47 (out, din, clock, enable_l,reset) ;
output [46:0] out ;
input [46:0]  din ;
input   clock ;
input   enable_l ;
input  reset ;

	MflipflopR MflipflopR_46_0 (out[0], din[0], clock, enable_l,reset);
	MflipflopR MflipflopR_46_1 (out[1], din[1], clock, enable_l,reset);
	MflipflopR MflipflopR_46_2 (out[2], din[2], clock, enable_l,reset);
	MflipflopR MflipflopR_46_3 (out[3], din[3], clock, enable_l,reset);
	MflipflopR MflipflopR_46_4 (out[4], din[4], clock, enable_l,reset);
	MflipflopR MflipflopR_46_5 (out[5], din[5], clock, enable_l,reset);
	MflipflopR MflipflopR_46_6 (out[6], din[6], clock, enable_l,reset);
	MflipflopR MflipflopR_46_7 (out[7], din[7], clock, enable_l,reset);
	MflipflopR MflipflopR_46_8 (out[8], din[8], clock, enable_l,reset);
	MflipflopR MflipflopR_46_9 (out[9], din[9], clock, enable_l,reset);
	MflipflopR MflipflopR_46_10 (out[10], din[10], clock, enable_l,reset);
	MflipflopR MflipflopR_46_11 (out[11], din[11], clock, enable_l,reset);
	MflipflopR MflipflopR_46_12 (out[12], din[12], clock, enable_l,reset);
	MflipflopR MflipflopR_46_13 (out[13], din[13], clock, enable_l,reset);
	MflipflopR MflipflopR_46_14 (out[14], din[14], clock, enable_l,reset);
	MflipflopR MflipflopR_46_15 (out[15], din[15], clock, enable_l,reset);
	MflipflopR MflipflopR_46_16 (out[16], din[16], clock, enable_l,reset);
	MflipflopR MflipflopR_46_17 (out[17], din[17], clock, enable_l,reset);
	MflipflopR MflipflopR_46_18 (out[18], din[18], clock, enable_l,reset);
	MflipflopR MflipflopR_46_19 (out[19], din[19], clock, enable_l,reset);
	MflipflopR MflipflopR_46_20 (out[20], din[20], clock, enable_l,reset);
	MflipflopR MflipflopR_46_21 (out[21], din[21], clock, enable_l,reset);
	MflipflopR MflipflopR_46_22 (out[22], din[22], clock, enable_l,reset);
	MflipflopR MflipflopR_46_23 (out[23], din[23], clock, enable_l,reset);
	MflipflopR MflipflopR_46_24 (out[24], din[24], clock, enable_l,reset);
	MflipflopR MflipflopR_46_25 (out[25], din[25], clock, enable_l,reset);
	MflipflopR MflipflopR_46_26 (out[26], din[26], clock, enable_l,reset);
	MflipflopR MflipflopR_46_27 (out[27], din[27], clock, enable_l,reset);
	MflipflopR MflipflopR_46_28 (out[28], din[28], clock, enable_l,reset);
	MflipflopR MflipflopR_46_29 (out[29], din[29], clock, enable_l,reset);
	MflipflopR MflipflopR_46_30 (out[30], din[30], clock, enable_l,reset);
	MflipflopR MflipflopR_46_31 (out[31], din[31], clock, enable_l,reset);
	MflipflopR MflipflopR_46_32 (out[32], din[32], clock, enable_l,reset);
	MflipflopR MflipflopR_46_33 (out[33], din[33], clock, enable_l,reset);
	MflipflopR MflipflopR_46_34 (out[34], din[34], clock, enable_l,reset);
	MflipflopR MflipflopR_46_35 (out[35], din[35], clock, enable_l,reset);
	MflipflopR MflipflopR_46_36 (out[36], din[36], clock, enable_l,reset);
	MflipflopR MflipflopR_46_37 (out[37], din[37], clock, enable_l,reset);
	MflipflopR MflipflopR_46_38 (out[38], din[38], clock, enable_l,reset);
	MflipflopR MflipflopR_46_39 (out[39], din[39], clock, enable_l,reset);
	MflipflopR MflipflopR_46_40 (out[40], din[40], clock, enable_l,reset);
	MflipflopR MflipflopR_46_41 (out[41], din[41], clock, enable_l,reset);
	MflipflopR MflipflopR_46_42 (out[42], din[42], clock, enable_l,reset);
	MflipflopR MflipflopR_46_43 (out[43], din[43], clock, enable_l,reset);
	MflipflopR MflipflopR_46_44 (out[44], din[44], clock, enable_l,reset);
	MflipflopR MflipflopR_46_45 (out[45], din[45], clock, enable_l,reset);
	MflipflopR MflipflopR_46_46 (out[46], din[46], clock, enable_l,reset);

endmodule

module MflipflopR_48 (out, din, clock, enable_l,reset) ;
output [47:0] out ;
input [47:0]  din ;
input   clock ;
input   enable_l ;
input  reset ;

	MflipflopR MflipflopR_47_0 (out[0], din[0], clock, enable_l,reset);
	MflipflopR MflipflopR_47_1 (out[1], din[1], clock, enable_l,reset);
	MflipflopR MflipflopR_47_2 (out[2], din[2], clock, enable_l,reset);
	MflipflopR MflipflopR_47_3 (out[3], din[3], clock, enable_l,reset);
	MflipflopR MflipflopR_47_4 (out[4], din[4], clock, enable_l,reset);
	MflipflopR MflipflopR_47_5 (out[5], din[5], clock, enable_l,reset);
	MflipflopR MflipflopR_47_6 (out[6], din[6], clock, enable_l,reset);
	MflipflopR MflipflopR_47_7 (out[7], din[7], clock, enable_l,reset);
	MflipflopR MflipflopR_47_8 (out[8], din[8], clock, enable_l,reset);
	MflipflopR MflipflopR_47_9 (out[9], din[9], clock, enable_l,reset);
	MflipflopR MflipflopR_47_10 (out[10], din[10], clock, enable_l,reset);
	MflipflopR MflipflopR_47_11 (out[11], din[11], clock, enable_l,reset);
	MflipflopR MflipflopR_47_12 (out[12], din[12], clock, enable_l,reset);
	MflipflopR MflipflopR_47_13 (out[13], din[13], clock, enable_l,reset);
	MflipflopR MflipflopR_47_14 (out[14], din[14], clock, enable_l,reset);
	MflipflopR MflipflopR_47_15 (out[15], din[15], clock, enable_l,reset);
	MflipflopR MflipflopR_47_16 (out[16], din[16], clock, enable_l,reset);
	MflipflopR MflipflopR_47_17 (out[17], din[17], clock, enable_l,reset);
	MflipflopR MflipflopR_47_18 (out[18], din[18], clock, enable_l,reset);
	MflipflopR MflipflopR_47_19 (out[19], din[19], clock, enable_l,reset);
	MflipflopR MflipflopR_47_20 (out[20], din[20], clock, enable_l,reset);
	MflipflopR MflipflopR_47_21 (out[21], din[21], clock, enable_l,reset);
	MflipflopR MflipflopR_47_22 (out[22], din[22], clock, enable_l,reset);
	MflipflopR MflipflopR_47_23 (out[23], din[23], clock, enable_l,reset);
	MflipflopR MflipflopR_47_24 (out[24], din[24], clock, enable_l,reset);
	MflipflopR MflipflopR_47_25 (out[25], din[25], clock, enable_l,reset);
	MflipflopR MflipflopR_47_26 (out[26], din[26], clock, enable_l,reset);
	MflipflopR MflipflopR_47_27 (out[27], din[27], clock, enable_l,reset);
	MflipflopR MflipflopR_47_28 (out[28], din[28], clock, enable_l,reset);
	MflipflopR MflipflopR_47_29 (out[29], din[29], clock, enable_l,reset);
	MflipflopR MflipflopR_47_30 (out[30], din[30], clock, enable_l,reset);
	MflipflopR MflipflopR_47_31 (out[31], din[31], clock, enable_l,reset);
	MflipflopR MflipflopR_47_32 (out[32], din[32], clock, enable_l,reset);
	MflipflopR MflipflopR_47_33 (out[33], din[33], clock, enable_l,reset);
	MflipflopR MflipflopR_47_34 (out[34], din[34], clock, enable_l,reset);
	MflipflopR MflipflopR_47_35 (out[35], din[35], clock, enable_l,reset);
	MflipflopR MflipflopR_47_36 (out[36], din[36], clock, enable_l,reset);
	MflipflopR MflipflopR_47_37 (out[37], din[37], clock, enable_l,reset);
	MflipflopR MflipflopR_47_38 (out[38], din[38], clock, enable_l,reset);
	MflipflopR MflipflopR_47_39 (out[39], din[39], clock, enable_l,reset);
	MflipflopR MflipflopR_47_40 (out[40], din[40], clock, enable_l,reset);
	MflipflopR MflipflopR_47_41 (out[41], din[41], clock, enable_l,reset);
	MflipflopR MflipflopR_47_42 (out[42], din[42], clock, enable_l,reset);
	MflipflopR MflipflopR_47_43 (out[43], din[43], clock, enable_l,reset);
	MflipflopR MflipflopR_47_44 (out[44], din[44], clock, enable_l,reset);
	MflipflopR MflipflopR_47_45 (out[45], din[45], clock, enable_l,reset);
	MflipflopR MflipflopR_47_46 (out[46], din[46], clock, enable_l,reset);
	MflipflopR MflipflopR_47_47 (out[47], din[47], clock, enable_l,reset);

endmodule

module MflipflopR_49 (out, din, clock, enable_l,reset) ;
output [48:0] out ;
input [48:0]  din ;
input   clock ;
input   enable_l ;
input  reset ;

	MflipflopR MflipflopR_48_0 (out[0], din[0], clock, enable_l,reset);
	MflipflopR MflipflopR_48_1 (out[1], din[1], clock, enable_l,reset);
	MflipflopR MflipflopR_48_2 (out[2], din[2], clock, enable_l,reset);
	MflipflopR MflipflopR_48_3 (out[3], din[3], clock, enable_l,reset);
	MflipflopR MflipflopR_48_4 (out[4], din[4], clock, enable_l,reset);
	MflipflopR MflipflopR_48_5 (out[5], din[5], clock, enable_l,reset);
	MflipflopR MflipflopR_48_6 (out[6], din[6], clock, enable_l,reset);
	MflipflopR MflipflopR_48_7 (out[7], din[7], clock, enable_l,reset);
	MflipflopR MflipflopR_48_8 (out[8], din[8], clock, enable_l,reset);
	MflipflopR MflipflopR_48_9 (out[9], din[9], clock, enable_l,reset);
	MflipflopR MflipflopR_48_10 (out[10], din[10], clock, enable_l,reset);
	MflipflopR MflipflopR_48_11 (out[11], din[11], clock, enable_l,reset);
	MflipflopR MflipflopR_48_12 (out[12], din[12], clock, enable_l,reset);
	MflipflopR MflipflopR_48_13 (out[13], din[13], clock, enable_l,reset);
	MflipflopR MflipflopR_48_14 (out[14], din[14], clock, enable_l,reset);
	MflipflopR MflipflopR_48_15 (out[15], din[15], clock, enable_l,reset);
	MflipflopR MflipflopR_48_16 (out[16], din[16], clock, enable_l,reset);
	MflipflopR MflipflopR_48_17 (out[17], din[17], clock, enable_l,reset);
	MflipflopR MflipflopR_48_18 (out[18], din[18], clock, enable_l,reset);
	MflipflopR MflipflopR_48_19 (out[19], din[19], clock, enable_l,reset);
	MflipflopR MflipflopR_48_20 (out[20], din[20], clock, enable_l,reset);
	MflipflopR MflipflopR_48_21 (out[21], din[21], clock, enable_l,reset);
	MflipflopR MflipflopR_48_22 (out[22], din[22], clock, enable_l,reset);
	MflipflopR MflipflopR_48_23 (out[23], din[23], clock, enable_l,reset);
	MflipflopR MflipflopR_48_24 (out[24], din[24], clock, enable_l,reset);
	MflipflopR MflipflopR_48_25 (out[25], din[25], clock, enable_l,reset);
	MflipflopR MflipflopR_48_26 (out[26], din[26], clock, enable_l,reset);
	MflipflopR MflipflopR_48_27 (out[27], din[27], clock, enable_l,reset);
	MflipflopR MflipflopR_48_28 (out[28], din[28], clock, enable_l,reset);
	MflipflopR MflipflopR_48_29 (out[29], din[29], clock, enable_l,reset);
	MflipflopR MflipflopR_48_30 (out[30], din[30], clock, enable_l,reset);
	MflipflopR MflipflopR_48_31 (out[31], din[31], clock, enable_l,reset);
	MflipflopR MflipflopR_48_32 (out[32], din[32], clock, enable_l,reset);
	MflipflopR MflipflopR_48_33 (out[33], din[33], clock, enable_l,reset);
	MflipflopR MflipflopR_48_34 (out[34], din[34], clock, enable_l,reset);
	MflipflopR MflipflopR_48_35 (out[35], din[35], clock, enable_l,reset);
	MflipflopR MflipflopR_48_36 (out[36], din[36], clock, enable_l,reset);
	MflipflopR MflipflopR_48_37 (out[37], din[37], clock, enable_l,reset);
	MflipflopR MflipflopR_48_38 (out[38], din[38], clock, enable_l,reset);
	MflipflopR MflipflopR_48_39 (out[39], din[39], clock, enable_l,reset);
	MflipflopR MflipflopR_48_40 (out[40], din[40], clock, enable_l,reset);
	MflipflopR MflipflopR_48_41 (out[41], din[41], clock, enable_l,reset);
	MflipflopR MflipflopR_48_42 (out[42], din[42], clock, enable_l,reset);
	MflipflopR MflipflopR_48_43 (out[43], din[43], clock, enable_l,reset);
	MflipflopR MflipflopR_48_44 (out[44], din[44], clock, enable_l,reset);
	MflipflopR MflipflopR_48_45 (out[45], din[45], clock, enable_l,reset);
	MflipflopR MflipflopR_48_46 (out[46], din[46], clock, enable_l,reset);
	MflipflopR MflipflopR_48_47 (out[47], din[47], clock, enable_l,reset);
	MflipflopR MflipflopR_48_48 (out[48], din[48], clock, enable_l,reset);

endmodule

module MflipflopR_50 (out, din, clock, enable_l,reset) ;
output [49:0] out ;
input [49:0]  din ;
input   clock ;
input   enable_l ;
input  reset ;

	MflipflopR MflipflopR_49_0 (out[0], din[0], clock, enable_l,reset);
	MflipflopR MflipflopR_49_1 (out[1], din[1], clock, enable_l,reset);
	MflipflopR MflipflopR_49_2 (out[2], din[2], clock, enable_l,reset);
	MflipflopR MflipflopR_49_3 (out[3], din[3], clock, enable_l,reset);
	MflipflopR MflipflopR_49_4 (out[4], din[4], clock, enable_l,reset);
	MflipflopR MflipflopR_49_5 (out[5], din[5], clock, enable_l,reset);
	MflipflopR MflipflopR_49_6 (out[6], din[6], clock, enable_l,reset);
	MflipflopR MflipflopR_49_7 (out[7], din[7], clock, enable_l,reset);
	MflipflopR MflipflopR_49_8 (out[8], din[8], clock, enable_l,reset);
	MflipflopR MflipflopR_49_9 (out[9], din[9], clock, enable_l,reset);
	MflipflopR MflipflopR_49_10 (out[10], din[10], clock, enable_l,reset);
	MflipflopR MflipflopR_49_11 (out[11], din[11], clock, enable_l,reset);
	MflipflopR MflipflopR_49_12 (out[12], din[12], clock, enable_l,reset);
	MflipflopR MflipflopR_49_13 (out[13], din[13], clock, enable_l,reset);
	MflipflopR MflipflopR_49_14 (out[14], din[14], clock, enable_l,reset);
	MflipflopR MflipflopR_49_15 (out[15], din[15], clock, enable_l,reset);
	MflipflopR MflipflopR_49_16 (out[16], din[16], clock, enable_l,reset);
	MflipflopR MflipflopR_49_17 (out[17], din[17], clock, enable_l,reset);
	MflipflopR MflipflopR_49_18 (out[18], din[18], clock, enable_l,reset);
	MflipflopR MflipflopR_49_19 (out[19], din[19], clock, enable_l,reset);
	MflipflopR MflipflopR_49_20 (out[20], din[20], clock, enable_l,reset);
	MflipflopR MflipflopR_49_21 (out[21], din[21], clock, enable_l,reset);
	MflipflopR MflipflopR_49_22 (out[22], din[22], clock, enable_l,reset);
	MflipflopR MflipflopR_49_23 (out[23], din[23], clock, enable_l,reset);
	MflipflopR MflipflopR_49_24 (out[24], din[24], clock, enable_l,reset);
	MflipflopR MflipflopR_49_25 (out[25], din[25], clock, enable_l,reset);
	MflipflopR MflipflopR_49_26 (out[26], din[26], clock, enable_l,reset);
	MflipflopR MflipflopR_49_27 (out[27], din[27], clock, enable_l,reset);
	MflipflopR MflipflopR_49_28 (out[28], din[28], clock, enable_l,reset);
	MflipflopR MflipflopR_49_29 (out[29], din[29], clock, enable_l,reset);
	MflipflopR MflipflopR_49_30 (out[30], din[30], clock, enable_l,reset);
	MflipflopR MflipflopR_49_31 (out[31], din[31], clock, enable_l,reset);
	MflipflopR MflipflopR_49_32 (out[32], din[32], clock, enable_l,reset);
	MflipflopR MflipflopR_49_33 (out[33], din[33], clock, enable_l,reset);
	MflipflopR MflipflopR_49_34 (out[34], din[34], clock, enable_l,reset);
	MflipflopR MflipflopR_49_35 (out[35], din[35], clock, enable_l,reset);
	MflipflopR MflipflopR_49_36 (out[36], din[36], clock, enable_l,reset);
	MflipflopR MflipflopR_49_37 (out[37], din[37], clock, enable_l,reset);
	MflipflopR MflipflopR_49_38 (out[38], din[38], clock, enable_l,reset);
	MflipflopR MflipflopR_49_39 (out[39], din[39], clock, enable_l,reset);
	MflipflopR MflipflopR_49_40 (out[40], din[40], clock, enable_l,reset);
	MflipflopR MflipflopR_49_41 (out[41], din[41], clock, enable_l,reset);
	MflipflopR MflipflopR_49_42 (out[42], din[42], clock, enable_l,reset);
	MflipflopR MflipflopR_49_43 (out[43], din[43], clock, enable_l,reset);
	MflipflopR MflipflopR_49_44 (out[44], din[44], clock, enable_l,reset);
	MflipflopR MflipflopR_49_45 (out[45], din[45], clock, enable_l,reset);
	MflipflopR MflipflopR_49_46 (out[46], din[46], clock, enable_l,reset);
	MflipflopR MflipflopR_49_47 (out[47], din[47], clock, enable_l,reset);
	MflipflopR MflipflopR_49_48 (out[48], din[48], clock, enable_l,reset);
	MflipflopR MflipflopR_49_49 (out[49], din[49], clock, enable_l,reset);

endmodule

module tristate_1 (out, din, enable_l) ;
output  out ;
input   din ;
input   enable_l ;

	tristate tristate_0_50 (out, din, enable_l);

endmodule

module tristate_2 (out, din, enable_l) ;
output [1:0] out ;
input [1:0]  din ;
input   enable_l ;

	tristate tristate_1_0 (out[0], din[0], enable_l);
	tristate tristate_1_1 (out[1], din[1], enable_l);

endmodule

module tristate_3 (out, din, enable_l) ;
output [2:0] out ;
input [2:0]  din ;
input   enable_l ;

	tristate tristate_2_0 (out[0], din[0], enable_l);
	tristate tristate_2_1 (out[1], din[1], enable_l);
	tristate tristate_2_2 (out[2], din[2], enable_l);

endmodule

module tristate_4 (out, din, enable_l) ;
output [3:0] out ;
input [3:0]  din ;
input   enable_l ;

	tristate tristate_3_0 (out[0], din[0], enable_l);
	tristate tristate_3_1 (out[1], din[1], enable_l);
	tristate tristate_3_2 (out[2], din[2], enable_l);
	tristate tristate_3_3 (out[3], din[3], enable_l);

endmodule

module tristate_5 (out, din, enable_l) ;
output [4:0] out ;
input [4:0]  din ;
input   enable_l ;

	tristate tristate_4_0 (out[0], din[0], enable_l);
	tristate tristate_4_1 (out[1], din[1], enable_l);
	tristate tristate_4_2 (out[2], din[2], enable_l);
	tristate tristate_4_3 (out[3], din[3], enable_l);
	tristate tristate_4_4 (out[4], din[4], enable_l);

endmodule

module tristate_6 (out, din, enable_l) ;
output [5:0] out ;
input [5:0]  din ;
input   enable_l ;

	tristate tristate_5_0 (out[0], din[0], enable_l);
	tristate tristate_5_1 (out[1], din[1], enable_l);
	tristate tristate_5_2 (out[2], din[2], enable_l);
	tristate tristate_5_3 (out[3], din[3], enable_l);
	tristate tristate_5_4 (out[4], din[4], enable_l);
	tristate tristate_5_5 (out[5], din[5], enable_l);

endmodule

module tristate_7 (out, din, enable_l) ;
output [6:0] out ;
input [6:0]  din ;
input   enable_l ;

	tristate tristate_6_0 (out[0], din[0], enable_l);
	tristate tristate_6_1 (out[1], din[1], enable_l);
	tristate tristate_6_2 (out[2], din[2], enable_l);
	tristate tristate_6_3 (out[3], din[3], enable_l);
	tristate tristate_6_4 (out[4], din[4], enable_l);
	tristate tristate_6_5 (out[5], din[5], enable_l);
	tristate tristate_6_6 (out[6], din[6], enable_l);

endmodule

module tristate_8 (out, din, enable_l) ;
output [7:0] out ;
input [7:0]  din ;
input   enable_l ;

	tristate tristate_7_0 (out[0], din[0], enable_l);
	tristate tristate_7_1 (out[1], din[1], enable_l);
	tristate tristate_7_2 (out[2], din[2], enable_l);
	tristate tristate_7_3 (out[3], din[3], enable_l);
	tristate tristate_7_4 (out[4], din[4], enable_l);
	tristate tristate_7_5 (out[5], din[5], enable_l);
	tristate tristate_7_6 (out[6], din[6], enable_l);
	tristate tristate_7_7 (out[7], din[7], enable_l);

endmodule

module tristate_9 (out, din, enable_l) ;
output [8:0] out ;
input [8:0]  din ;
input   enable_l ;

	tristate tristate_8_0 (out[0], din[0], enable_l);
	tristate tristate_8_1 (out[1], din[1], enable_l);
	tristate tristate_8_2 (out[2], din[2], enable_l);
	tristate tristate_8_3 (out[3], din[3], enable_l);
	tristate tristate_8_4 (out[4], din[4], enable_l);
	tristate tristate_8_5 (out[5], din[5], enable_l);
	tristate tristate_8_6 (out[6], din[6], enable_l);
	tristate tristate_8_7 (out[7], din[7], enable_l);
	tristate tristate_8_8 (out[8], din[8], enable_l);

endmodule

module tristate_10 (out, din, enable_l) ;
output [9:0] out ;
input [9:0]  din ;
input   enable_l ;

	tristate tristate_9_0 (out[0], din[0], enable_l);
	tristate tristate_9_1 (out[1], din[1], enable_l);
	tristate tristate_9_2 (out[2], din[2], enable_l);
	tristate tristate_9_3 (out[3], din[3], enable_l);
	tristate tristate_9_4 (out[4], din[4], enable_l);
	tristate tristate_9_5 (out[5], din[5], enable_l);
	tristate tristate_9_6 (out[6], din[6], enable_l);
	tristate tristate_9_7 (out[7], din[7], enable_l);
	tristate tristate_9_8 (out[8], din[8], enable_l);
	tristate tristate_9_9 (out[9], din[9], enable_l);

endmodule

module tristate_11 (out, din, enable_l) ;
output [10:0] out ;
input [10:0]  din ;
input   enable_l ;

	tristate tristate_10_0 (out[0], din[0], enable_l);
	tristate tristate_10_1 (out[1], din[1], enable_l);
	tristate tristate_10_2 (out[2], din[2], enable_l);
	tristate tristate_10_3 (out[3], din[3], enable_l);
	tristate tristate_10_4 (out[4], din[4], enable_l);
	tristate tristate_10_5 (out[5], din[5], enable_l);
	tristate tristate_10_6 (out[6], din[6], enable_l);
	tristate tristate_10_7 (out[7], din[7], enable_l);
	tristate tristate_10_8 (out[8], din[8], enable_l);
	tristate tristate_10_9 (out[9], din[9], enable_l);
	tristate tristate_10_10 (out[10], din[10], enable_l);

endmodule

module tristate_12 (out, din, enable_l) ;
output [11:0] out ;
input [11:0]  din ;
input   enable_l ;

	tristate tristate_11_0 (out[0], din[0], enable_l);
	tristate tristate_11_1 (out[1], din[1], enable_l);
	tristate tristate_11_2 (out[2], din[2], enable_l);
	tristate tristate_11_3 (out[3], din[3], enable_l);
	tristate tristate_11_4 (out[4], din[4], enable_l);
	tristate tristate_11_5 (out[5], din[5], enable_l);
	tristate tristate_11_6 (out[6], din[6], enable_l);
	tristate tristate_11_7 (out[7], din[7], enable_l);
	tristate tristate_11_8 (out[8], din[8], enable_l);
	tristate tristate_11_9 (out[9], din[9], enable_l);
	tristate tristate_11_10 (out[10], din[10], enable_l);
	tristate tristate_11_11 (out[11], din[11], enable_l);

endmodule

module tristate_13 (out, din, enable_l) ;
output [12:0] out ;
input [12:0]  din ;
input   enable_l ;

	tristate tristate_12_0 (out[0], din[0], enable_l);
	tristate tristate_12_1 (out[1], din[1], enable_l);
	tristate tristate_12_2 (out[2], din[2], enable_l);
	tristate tristate_12_3 (out[3], din[3], enable_l);
	tristate tristate_12_4 (out[4], din[4], enable_l);
	tristate tristate_12_5 (out[5], din[5], enable_l);
	tristate tristate_12_6 (out[6], din[6], enable_l);
	tristate tristate_12_7 (out[7], din[7], enable_l);
	tristate tristate_12_8 (out[8], din[8], enable_l);
	tristate tristate_12_9 (out[9], din[9], enable_l);
	tristate tristate_12_10 (out[10], din[10], enable_l);
	tristate tristate_12_11 (out[11], din[11], enable_l);
	tristate tristate_12_12 (out[12], din[12], enable_l);

endmodule

module tristate_14 (out, din, enable_l) ;
output [13:0] out ;
input [13:0]  din ;
input   enable_l ;

	tristate tristate_13_0 (out[0], din[0], enable_l);
	tristate tristate_13_1 (out[1], din[1], enable_l);
	tristate tristate_13_2 (out[2], din[2], enable_l);
	tristate tristate_13_3 (out[3], din[3], enable_l);
	tristate tristate_13_4 (out[4], din[4], enable_l);
	tristate tristate_13_5 (out[5], din[5], enable_l);
	tristate tristate_13_6 (out[6], din[6], enable_l);
	tristate tristate_13_7 (out[7], din[7], enable_l);
	tristate tristate_13_8 (out[8], din[8], enable_l);
	tristate tristate_13_9 (out[9], din[9], enable_l);
	tristate tristate_13_10 (out[10], din[10], enable_l);
	tristate tristate_13_11 (out[11], din[11], enable_l);
	tristate tristate_13_12 (out[12], din[12], enable_l);
	tristate tristate_13_13 (out[13], din[13], enable_l);

endmodule

module tristate_15 (out, din, enable_l) ;
output [14:0] out ;
input [14:0]  din ;
input   enable_l ;

	tristate tristate_14_0 (out[0], din[0], enable_l);
	tristate tristate_14_1 (out[1], din[1], enable_l);
	tristate tristate_14_2 (out[2], din[2], enable_l);
	tristate tristate_14_3 (out[3], din[3], enable_l);
	tristate tristate_14_4 (out[4], din[4], enable_l);
	tristate tristate_14_5 (out[5], din[5], enable_l);
	tristate tristate_14_6 (out[6], din[6], enable_l);
	tristate tristate_14_7 (out[7], din[7], enable_l);
	tristate tristate_14_8 (out[8], din[8], enable_l);
	tristate tristate_14_9 (out[9], din[9], enable_l);
	tristate tristate_14_10 (out[10], din[10], enable_l);
	tristate tristate_14_11 (out[11], din[11], enable_l);
	tristate tristate_14_12 (out[12], din[12], enable_l);
	tristate tristate_14_13 (out[13], din[13], enable_l);
	tristate tristate_14_14 (out[14], din[14], enable_l);

endmodule

module tristate_16 (out, din, enable_l) ;
output [15:0] out ;
input [15:0]  din ;
input   enable_l ;

	tristate tristate_15_0 (out[0], din[0], enable_l);
	tristate tristate_15_1 (out[1], din[1], enable_l);
	tristate tristate_15_2 (out[2], din[2], enable_l);
	tristate tristate_15_3 (out[3], din[3], enable_l);
	tristate tristate_15_4 (out[4], din[4], enable_l);
	tristate tristate_15_5 (out[5], din[5], enable_l);
	tristate tristate_15_6 (out[6], din[6], enable_l);
	tristate tristate_15_7 (out[7], din[7], enable_l);
	tristate tristate_15_8 (out[8], din[8], enable_l);
	tristate tristate_15_9 (out[9], din[9], enable_l);
	tristate tristate_15_10 (out[10], din[10], enable_l);
	tristate tristate_15_11 (out[11], din[11], enable_l);
	tristate tristate_15_12 (out[12], din[12], enable_l);
	tristate tristate_15_13 (out[13], din[13], enable_l);
	tristate tristate_15_14 (out[14], din[14], enable_l);
	tristate tristate_15_15 (out[15], din[15], enable_l);

endmodule

module tristate_17 (out, din, enable_l) ;
output [16:0] out ;
input [16:0]  din ;
input   enable_l ;

	tristate tristate_16_0 (out[0], din[0], enable_l);
	tristate tristate_16_1 (out[1], din[1], enable_l);
	tristate tristate_16_2 (out[2], din[2], enable_l);
	tristate tristate_16_3 (out[3], din[3], enable_l);
	tristate tristate_16_4 (out[4], din[4], enable_l);
	tristate tristate_16_5 (out[5], din[5], enable_l);
	tristate tristate_16_6 (out[6], din[6], enable_l);
	tristate tristate_16_7 (out[7], din[7], enable_l);
	tristate tristate_16_8 (out[8], din[8], enable_l);
	tristate tristate_16_9 (out[9], din[9], enable_l);
	tristate tristate_16_10 (out[10], din[10], enable_l);
	tristate tristate_16_11 (out[11], din[11], enable_l);
	tristate tristate_16_12 (out[12], din[12], enable_l);
	tristate tristate_16_13 (out[13], din[13], enable_l);
	tristate tristate_16_14 (out[14], din[14], enable_l);
	tristate tristate_16_15 (out[15], din[15], enable_l);
	tristate tristate_16_16 (out[16], din[16], enable_l);

endmodule

module tristate_18 (out, din, enable_l) ;
output [17:0] out ;
input [17:0]  din ;
input   enable_l ;

	tristate tristate_17_0 (out[0], din[0], enable_l);
	tristate tristate_17_1 (out[1], din[1], enable_l);
	tristate tristate_17_2 (out[2], din[2], enable_l);
	tristate tristate_17_3 (out[3], din[3], enable_l);
	tristate tristate_17_4 (out[4], din[4], enable_l);
	tristate tristate_17_5 (out[5], din[5], enable_l);
	tristate tristate_17_6 (out[6], din[6], enable_l);
	tristate tristate_17_7 (out[7], din[7], enable_l);
	tristate tristate_17_8 (out[8], din[8], enable_l);
	tristate tristate_17_9 (out[9], din[9], enable_l);
	tristate tristate_17_10 (out[10], din[10], enable_l);
	tristate tristate_17_11 (out[11], din[11], enable_l);
	tristate tristate_17_12 (out[12], din[12], enable_l);
	tristate tristate_17_13 (out[13], din[13], enable_l);
	tristate tristate_17_14 (out[14], din[14], enable_l);
	tristate tristate_17_15 (out[15], din[15], enable_l);
	tristate tristate_17_16 (out[16], din[16], enable_l);
	tristate tristate_17_17 (out[17], din[17], enable_l);

endmodule

module tristate_19 (out, din, enable_l) ;
output [18:0] out ;
input [18:0]  din ;
input   enable_l ;

	tristate tristate_18_0 (out[0], din[0], enable_l);
	tristate tristate_18_1 (out[1], din[1], enable_l);
	tristate tristate_18_2 (out[2], din[2], enable_l);
	tristate tristate_18_3 (out[3], din[3], enable_l);
	tristate tristate_18_4 (out[4], din[4], enable_l);
	tristate tristate_18_5 (out[5], din[5], enable_l);
	tristate tristate_18_6 (out[6], din[6], enable_l);
	tristate tristate_18_7 (out[7], din[7], enable_l);
	tristate tristate_18_8 (out[8], din[8], enable_l);
	tristate tristate_18_9 (out[9], din[9], enable_l);
	tristate tristate_18_10 (out[10], din[10], enable_l);
	tristate tristate_18_11 (out[11], din[11], enable_l);
	tristate tristate_18_12 (out[12], din[12], enable_l);
	tristate tristate_18_13 (out[13], din[13], enable_l);
	tristate tristate_18_14 (out[14], din[14], enable_l);
	tristate tristate_18_15 (out[15], din[15], enable_l);
	tristate tristate_18_16 (out[16], din[16], enable_l);
	tristate tristate_18_17 (out[17], din[17], enable_l);
	tristate tristate_18_18 (out[18], din[18], enable_l);

endmodule

module tristate_20 (out, din, enable_l) ;
output [19:0] out ;
input [19:0]  din ;
input   enable_l ;

	tristate tristate_19_0 (out[0], din[0], enable_l);
	tristate tristate_19_1 (out[1], din[1], enable_l);
	tristate tristate_19_2 (out[2], din[2], enable_l);
	tristate tristate_19_3 (out[3], din[3], enable_l);
	tristate tristate_19_4 (out[4], din[4], enable_l);
	tristate tristate_19_5 (out[5], din[5], enable_l);
	tristate tristate_19_6 (out[6], din[6], enable_l);
	tristate tristate_19_7 (out[7], din[7], enable_l);
	tristate tristate_19_8 (out[8], din[8], enable_l);
	tristate tristate_19_9 (out[9], din[9], enable_l);
	tristate tristate_19_10 (out[10], din[10], enable_l);
	tristate tristate_19_11 (out[11], din[11], enable_l);
	tristate tristate_19_12 (out[12], din[12], enable_l);
	tristate tristate_19_13 (out[13], din[13], enable_l);
	tristate tristate_19_14 (out[14], din[14], enable_l);
	tristate tristate_19_15 (out[15], din[15], enable_l);
	tristate tristate_19_16 (out[16], din[16], enable_l);
	tristate tristate_19_17 (out[17], din[17], enable_l);
	tristate tristate_19_18 (out[18], din[18], enable_l);
	tristate tristate_19_19 (out[19], din[19], enable_l);

endmodule

module tristate_21 (out, din, enable_l) ;
output [20:0] out ;
input [20:0]  din ;
input   enable_l ;

	tristate tristate_20_0 (out[0], din[0], enable_l);
	tristate tristate_20_1 (out[1], din[1], enable_l);
	tristate tristate_20_2 (out[2], din[2], enable_l);
	tristate tristate_20_3 (out[3], din[3], enable_l);
	tristate tristate_20_4 (out[4], din[4], enable_l);
	tristate tristate_20_5 (out[5], din[5], enable_l);
	tristate tristate_20_6 (out[6], din[6], enable_l);
	tristate tristate_20_7 (out[7], din[7], enable_l);
	tristate tristate_20_8 (out[8], din[8], enable_l);
	tristate tristate_20_9 (out[9], din[9], enable_l);
	tristate tristate_20_10 (out[10], din[10], enable_l);
	tristate tristate_20_11 (out[11], din[11], enable_l);
	tristate tristate_20_12 (out[12], din[12], enable_l);
	tristate tristate_20_13 (out[13], din[13], enable_l);
	tristate tristate_20_14 (out[14], din[14], enable_l);
	tristate tristate_20_15 (out[15], din[15], enable_l);
	tristate tristate_20_16 (out[16], din[16], enable_l);
	tristate tristate_20_17 (out[17], din[17], enable_l);
	tristate tristate_20_18 (out[18], din[18], enable_l);
	tristate tristate_20_19 (out[19], din[19], enable_l);
	tristate tristate_20_20 (out[20], din[20], enable_l);

endmodule

module tristate_22 (out, din, enable_l) ;
output [21:0] out ;
input [21:0]  din ;
input   enable_l ;

	tristate tristate_21_0 (out[0], din[0], enable_l);
	tristate tristate_21_1 (out[1], din[1], enable_l);
	tristate tristate_21_2 (out[2], din[2], enable_l);
	tristate tristate_21_3 (out[3], din[3], enable_l);
	tristate tristate_21_4 (out[4], din[4], enable_l);
	tristate tristate_21_5 (out[5], din[5], enable_l);
	tristate tristate_21_6 (out[6], din[6], enable_l);
	tristate tristate_21_7 (out[7], din[7], enable_l);
	tristate tristate_21_8 (out[8], din[8], enable_l);
	tristate tristate_21_9 (out[9], din[9], enable_l);
	tristate tristate_21_10 (out[10], din[10], enable_l);
	tristate tristate_21_11 (out[11], din[11], enable_l);
	tristate tristate_21_12 (out[12], din[12], enable_l);
	tristate tristate_21_13 (out[13], din[13], enable_l);
	tristate tristate_21_14 (out[14], din[14], enable_l);
	tristate tristate_21_15 (out[15], din[15], enable_l);
	tristate tristate_21_16 (out[16], din[16], enable_l);
	tristate tristate_21_17 (out[17], din[17], enable_l);
	tristate tristate_21_18 (out[18], din[18], enable_l);
	tristate tristate_21_19 (out[19], din[19], enable_l);
	tristate tristate_21_20 (out[20], din[20], enable_l);
	tristate tristate_21_21 (out[21], din[21], enable_l);

endmodule

module tristate_23 (out, din, enable_l) ;
output [22:0] out ;
input [22:0]  din ;
input   enable_l ;

	tristate tristate_22_0 (out[0], din[0], enable_l);
	tristate tristate_22_1 (out[1], din[1], enable_l);
	tristate tristate_22_2 (out[2], din[2], enable_l);
	tristate tristate_22_3 (out[3], din[3], enable_l);
	tristate tristate_22_4 (out[4], din[4], enable_l);
	tristate tristate_22_5 (out[5], din[5], enable_l);
	tristate tristate_22_6 (out[6], din[6], enable_l);
	tristate tristate_22_7 (out[7], din[7], enable_l);
	tristate tristate_22_8 (out[8], din[8], enable_l);
	tristate tristate_22_9 (out[9], din[9], enable_l);
	tristate tristate_22_10 (out[10], din[10], enable_l);
	tristate tristate_22_11 (out[11], din[11], enable_l);
	tristate tristate_22_12 (out[12], din[12], enable_l);
	tristate tristate_22_13 (out[13], din[13], enable_l);
	tristate tristate_22_14 (out[14], din[14], enable_l);
	tristate tristate_22_15 (out[15], din[15], enable_l);
	tristate tristate_22_16 (out[16], din[16], enable_l);
	tristate tristate_22_17 (out[17], din[17], enable_l);
	tristate tristate_22_18 (out[18], din[18], enable_l);
	tristate tristate_22_19 (out[19], din[19], enable_l);
	tristate tristate_22_20 (out[20], din[20], enable_l);
	tristate tristate_22_21 (out[21], din[21], enable_l);
	tristate tristate_22_22 (out[22], din[22], enable_l);

endmodule

module tristate_24 (out, din, enable_l) ;
output [23:0] out ;
input [23:0]  din ;
input   enable_l ;

Next123456789101112
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Created:Thu Aug 19 11:58:21 1999
From: ../../../sparc_v8/lib/rtl/macros.v

Verilog converted to html by v2html 5.0 (written by Costas Calamvokis).Help