System/Verilog C/C++ Committee By Author
- Adam Krolnik
- Alain Raynaud
- Alsop, Thomas R
- Amit Kohli
- Amouroux, John
- RE: [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Asse rtion API" (UPDATED: 12/3/03) (Fri Dec 05 2003 - 11:30:31 PST)
- [sv-cc] Assertion API questions (Tue Nov 25 2003 - 11:49:47 PST)
- [sv-cc] So long for now (Tue Sep 02 2003 - 18:51:01 PDT)
- RE: [sv-cc] Poll on errata for open arrays (Tue Sep 02 2003 - 18:40:50 PDT)
- RE: [sv-cc] Vote and polls on 3 issues (Mon Aug 04 2003 - 11:58:08 PDT)
- [sv-cc] SV-CC Meeting Minutes for July 30, 2003 (Wed Jul 30 2003 - 09:57:32 PDT)
- RE: [sv-cc] RE: Version 2 of DPI LRM - partial results of poll on names (Mon Mar 17 2003 - 14:38:22 PST)
- [sv-cc] Meeting minutes for Mar. 12, 2003 (Wed Mar 12 2003 - 15:25:32 PST)
- RE: [sv-cc] Updated extern/exports proposal (Wed Mar 12 2003 - 08:45:35 PST)
- RE: [sv-cc] Conf call access (Tue Mar 11 2003 - 09:17:55 PST)
- [sv-cc] Conf call access (Tue Mar 11 2003 - 09:03:07 PST)
- RE: [sv-cc] Polls on extern/export and representation of SV data types (Fri Mar 07 2003 - 18:10:10 PST)
- [sv-cc] Poll for coverage api and strings (Fri Feb 07 2003 - 13:15:17 PST)
- [sv-cc] Meeting minutes for Feb 5, 2003 - amended (Thu Feb 06 2003 - 18:03:13 PST)
- [sv-cc] Meeting minutes for Feb 5, 2003 (Wed Feb 05 2003 - 09:54:49 PST)
- [sv-cc] SV-CC Meeting Minutes, Part 2, for January 28, 2003 (Tue Jan 28 2003 - 10:04:02 PST)
- RE: [sv-cc] Updated proposal for C/C++ file inclusion (Tue Jan 28 2003 - 09:01:49 PST)
- RE: [sv-cc] Updated proposal for C/C++ file inclusion (Mon Jan 27 2003 - 18:48:33 PST)
- Poll - Issues 1.7, 1.11 - C-sde interface (Mon Jan 20 2003 - 13:06:15 PST)
- RE: Vote on Assertions API (VPI Extensions) (Wed Jan 15 2003 - 13:29:35 PST)
- SV_CC meeting minutes for 15 Jan, 2003 (Wed Jan 15 2003 - 10:20:01 PST)
- Meeting minutes for 1/8/03 (Wed Jan 08 2003 - 10:32:17 PST)
- RE: Vote on Kevin's proposal (Fri Dec 20 2002 - 14:53:28 PST)
- RE: SV-CC Minutes 12/11/02 (Wed Dec 11 2002 - 13:49:28 PST)
- Meeting Minutes for Dec 11, 2002 (Tue Dec 10 2002 - 10:32:50 PST)
- Afternoon meeting minutes for Dec 3rd meeting (Tue Dec 03 2002 - 18:01:47 PST)
- Re: Poll on ISSUE 1.1 (Mon Dec 02 2002 - 16:57:29 PST)
- RE: Poll on ISSUE 1.7 (Mon Dec 02 2002 - 16:46:43 PST)
- RE: Voting on ISSUE 1.6 (Fri Nov 22 2002 - 15:39:24 PST)
- RE: Modified Proposal for Context Sensitive Function Calls (Wed Nov 20 2002 - 08:50:16 PST)
- RE: Modified Proposal for Context Sensitive Function Calls (Wed Nov 20 2002 - 07:55:11 PST)
- RE: Alternative to SvccBindSVcallee/r (Tue Nov 12 2002 - 11:30:00 PST)
- Draft Nov. 5, 2002 meeting minutes (Tue Nov 05 2002 - 12:47:07 PST)
- Corrected SV-CC Meeting Minutes for 10-22-02 (Tue Oct 29 2002 - 15:47:44 PST)
- RE: Draft of meeting minutes for Oct 22, 2002 SV-CC meeting (Tue Oct 22 2002 - 15:36:50 PDT)
- Draft of meeting minutes for Oct 22, 2002 SV-CC meeting (Tue Oct 22 2002 - 15:10:56 PDT)
- Andrzej I. Litwiniuk
- Andrzej Litwiniuk
- RE: [sv-cc] vpiHandle on DPI method port proposal (Tue Oct 04 2011 - 11:31:47 PDT)
- RE: [sv-cc] vpiHandle on DPI method port proposal (Tue Oct 04 2011 - 09:22:48 PDT)
- RE: [sv-cc] Idea to allow $system calls via the DPI (Wed Aug 18 2010 - 14:21:33 PDT)
- Re: [sv-cc] Meeting reminder - 04/21/2004 (Wed Apr 21 2004 - 09:33:54 PDT)
- Re: [sv-cc] Question on LRM E.6.7 (Thu Apr 15 2004 - 12:45:49 PDT)
- [sv-cc] DPI: dynamic array cannot be passed for DPI open array output arg (Thu Mar 18 2004 - 15:47:59 PST)
- [sv-cc] Re: Draft 5 Changes Review Request - part select (Tue Feb 24 2004 - 15:27:48 PST)
- Re: [sv-cc] RE: [sv-ec] Draft 5 Changes Review Request - errata to errata (Tue Feb 24 2004 - 12:20:08 PST)
- [sv-cc] oversight in 3.1a draft3 27.4.5 Function result - missing scalars (Fri Jan 30 2004 - 10:36:05 PST)
- RE: [sv-cc] Draft 3 of the LRM - errata + questions (Mon Jan 19 2004 - 14:46:33 PST)
- Re: [sv-cc] Vote/Poll/Review (Fri Oct 17 2003 - 14:45:27 PDT)
- [sv-cc] Re: Next rev of DPI task proposal - imp. tasks are always 'context' (Wed Oct 01 2003 - 08:31:15 PDT)
- Re: [sv-cc] Poll on errata for open arrays (Fri Aug 29 2003 - 07:36:21 PDT)
- [sv-cc] errata for open arrays: BNF & LRM (Wed Aug 20 2003 - 09:38:09 PDT)
- [sv-cc] dynamic arrays and open arrays (Tue Aug 12 2003 - 19:10:19 PDT)
- Re: [sv-cc] Meeting reminder 07/30/03 (Wed Jul 30 2003 - 08:04:25 PDT)
- Re: [sv-cc] Meeting reminder 07/22/03 - BNF for dpi_function_proto (Wed Jul 23 2003 - 07:30:48 PDT)
- Re: [sv-cc] Meeting minutes, July 16, 2003 (Wed Jul 16 2003 - 13:48:23 PDT)
- Re: [sv-cc] SV3.1A issues ... - garbage collection - see 26.4.1.4 + D.5.7 (Wed Jul 16 2003 - 07:57:53 PDT)
- Re: [sv-cc] SV3.1A issues for sv-cc from SV3.1 - garbage collection (Wed Jul 16 2003 - 07:41:15 PDT)
- Re: [sv-cc] Wrong syntax for import "DPI" ? Missing "function" or (Mon Jul 07 2003 - 15:02:42 PDT)
- [sv-cc] Wrong syntax for import "DPI" ? Missing "function" or superfluous "function"? (Mon Jul 07 2003 - 14:32:11 PDT)
- [sv-cc] SV 3.1 LRM Table 11-1: chandle and garbage collection (Wed May 21 2003 - 13:52:05 PDT)
- Re: [sv-cc] Minutes for the SV-CC Conference Call @ 22-Apr-2003 (Wed Apr 23 2003 - 10:21:21 PDT)
- Re: [sv-cc] Proposed resolution for LRM 298: Glossary (sv-cc portions) (Tue Apr 22 2003 - 15:39:14 PDT)
- Re: [sv-cc] Resolution of open vs dynamic arrays (Wed Apr 16 2003 - 15:09:03 PDT)
- Re: [sv-cc] SV-CC LRM - array of strings (Wed Apr 16 2003 - 14:06:21 PDT)
- [sv-cc] SV-CC LRM - array of strings (Tue Apr 15 2003 - 11:01:00 PDT)
- [sv-cc] out until April 14th (Fri Apr 04 2003 - 11:04:23 PST)
- Re: [sv-cc] Perspectives from a "user" of the SV DPI C-layer (Wed Apr 02 2003 - 09:27:11 PST)
- [sv-cc] SV-CC LRM Version 0.9_1 pdf - SV layer, C layer, include files (Wed Apr 02 2003 - 08:52:57 PST)
- Re: [sv-cc] Perspectives from a "user" of the SV DPI C-layer (Tue Apr 01 2003 - 16:29:13 PST)
- Re: [sv-cc] Perspectives from a "user" of the SV DPI C-layer (Tue Apr 01 2003 - 16:15:50 PST)
- Re: [sv-cc] Perspectives from a "user" of the SV DPI C-layer (Tue Apr 01 2003 - 15:48:45 PST)
- Re: [sv-cc] Perspectives from a "user" of the SV DPI C-layer (Tue Apr 01 2003 - 13:38:56 PST)
- Re: [sv-cc] Perspectives from a "user" of the SV DPI C-layer (Tue Apr 01 2003 - 11:12:41 PST)
- Re: [sv-cc] Re: missing svPutPartSelectBit() - and other oversights (Tue Apr 01 2003 - 10:19:41 PST)
- Re: [sv-cc] SV-CC LRM Version 0.8 (Tue Apr 01 2003 - 10:16:22 PST)
- [sv-cc] SV-CC LRM Version 0.9 pdf - SV layer, C layer, include files (Tue Apr 01 2003 - 09:41:30 PST)
- Re: [sv-cc] SV-CC LRM Version 0.8 (Tue Apr 01 2003 - 09:36:10 PST)
- [sv-cc] DPI - supported data types (Mon Mar 31 2003 - 12:57:08 PST)
- [sv-cc] Re: missing svPutPartSelectBit() - and other oversights (Mon Mar 31 2003 - 11:39:08 PST)
- [sv-cc] Re: missing svPutPartSelectBit() (Mon Mar 31 2003 - 08:43:05 PST)
- Re: [sv-cc] Feedback on revision 0.8 (Fri Mar 28 2003 - 09:47:30 PST)
- Re: [sv-cc] SV-CC LRM Version 0.8 (Fri Mar 28 2003 - 09:07:14 PST)
- Re: [sv-cc] Final proposal for user data management (Thu Mar 27 2003 - 11:20:39 PST)
- Re: [sv-cc] open issue: exported function = virtual function? (Thu Mar 27 2003 - 09:14:32 PST)
- Re: [sv-cc] open issue: exported function = virtual function? (Wed Mar 26 2003 - 13:49:11 PST)
- Re: [sv-cc] Re-proposed user data API (Wed Mar 26 2003 - 13:43:20 PST)
- Re: [sv-cc] open issue: exported function = virtual function? (Wed Mar 26 2003 - 12:43:31 PST)
- Re: [sv-cc] LRM modifications for svGet/PutUserData proposal (Wed Mar 26 2003 - 11:30:42 PST)
- [sv-cc] open issue: exported function = virtual function? (Wed Mar 26 2003 - 11:05:32 PST)
- Re: [sv-cc] LRM modifications for svGet/PutUserData proposal (Wed Mar 26 2003 - 08:02:26 PST)
- Re: [sv-cc] Modified Get/Put User Data Proposal - function vs. module scope (Thu Mar 20 2003 - 15:21:04 PST)
- Re: [sv-cc] Updated LRM - functions for bit type part-selects (Wed Mar 19 2003 - 15:50:21 PST)
- Re: [sv-cc] Updated LRM - functions for bit type part-selects (Wed Mar 19 2003 - 11:31:50 PST)
- Re: [sv-cc] Updated LRM - functions for bit type part-selects (Wed Mar 19 2003 - 10:54:23 PST)
- [sv-cc] Re: Modified Get/Put ... - svGetScopeFromName()/svPutUserData() overhead+restrictions (Wed Mar 19 2003 - 10:30:23 PST)
- Re: [sv-cc] Updated LRM (Wed Mar 19 2003 - 09:43:57 PST)
- Re: [sv-cc] Updated LRM (Wed Mar 19 2003 - 09:20:58 PST)
- [sv-cc] Re: Modified Get/Put ... - svGetScopeFromName()/svPutUserData() overhead, restrictions (Wed Mar 19 2003 - 08:41:27 PST)
- Re: [sv-cc] Updated LRM (Tue Mar 18 2003 - 10:38:45 PST)
- Re: [sv-cc] Correction: here is David's proposed import/export LRM section - Corrections (Tue Mar 18 2003 - 10:21:40 PST)
- Re: [sv-cc] Updated LRM (Tue Mar 18 2003 - 09:04:29 PST)
- Re:[sv-cc] svPut/GetUserData() (Tue Mar 18 2003 - 08:43:00 PST)
- Re: [sv-cc] reminder: updated svc.h, svc_src.h needed - Separate concerns! (Tue Mar 18 2003 - 07:35:11 PST)
- [sv-cc] RE: Version 2 of DPI LRM - partial results of poll on names (Mon Mar 17 2003 - 13:27:52 PST)
- [sv-cc] Re: nitpick with svGetPartSelectBit() - svGetBits() ? (Mon Mar 17 2003 - 11:29:42 PST)
- Re: [sv-cc] DPI context - setting/preserving&resetting a scope (Fri Mar 14 2003 - 14:44:10 PST)
- Re: [sv-cc] DPI context - setting/preserving&resetting a scope (Fri Mar 14 2003 - 12:44:00 PST)
- Re: [sv-cc] FW: [sv-bc] External Functions and Tasks proposal (Fri Mar 14 2003 - 08:15:48 PST)
- Re: [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer (Fri Mar 14 2003 - 08:04:36 PST)
- [sv-cc] Re: representation of SV data types - updated version (Thu Mar 13 2003 - 16:58:05 PST)
- Re: [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer (Thu Mar 13 2003 - 14:53:33 PST)
- Re: [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer (Thu Mar 13 2003 - 10:58:11 PST)
- Re: [sv-cc] Updated extern/exports proposal (Thu Mar 13 2003 - 10:02:12 PST)
- Re: [sv-cc] RE: Version 2 of DPI LRM (Thu Mar 13 2003 - 07:22:26 PST)
- [sv-cc] DPI context - setting/preserving&resetting a scope (Thu Mar 13 2003 - 06:43:14 PST)
- Re: [sv-cc] RE: Version 2 of DPI LRM (Wed Mar 12 2003 - 11:54:01 PST)
- Re: [sv-cc] [Fwd: Re: Version 2 of DPI LRM] (Wed Mar 12 2003 - 11:28:14 PST)
- Re: [sv-cc] Updated extern/exports proposal - NULL & $root scope (Wed Mar 12 2003 - 11:00:28 PST)
- Re: [sv-cc] Updated extern/exports proposal - pure (Wed Mar 12 2003 - 09:07:48 PST)
- [sv-cc] representation of SV data - imposed restrictions (Wed Mar 12 2003 - 09:02:34 PST)
- Re: [sv-cc] Updated extern/exports proposal (Wed Mar 12 2003 - 08:02:29 PST)
- Re: [sv-cc] [Fwd: Re: Version 2 of DPI LRM] (Tue Mar 11 2003 - 18:03:04 PST)
- [sv-cc] Re: Version 2 of DPI LRM (Tue Mar 11 2003 - 17:53:25 PST)
- [sv-cc] Re: Version 2 of DPI LRM (Tue Mar 11 2003 - 17:13:43 PST)
- Re: [sv-cc] [Fwd: Re: Version 2 of DPI LRM] (Tue Mar 11 2003 - 17:03:35 PST)
- Re: [sv-cc] elaboration time calls of DPI functions (Mon Mar 10 2003 - 17:00:39 PST)
- Re: [sv-cc] Polls on extern/export and representation of SV (Fri Mar 07 2003 - 16:50:51 PST)
- Re: [sv-cc] Polls on extern/export and representation of SV data (Fri Mar 07 2003 - 16:20:41 PST)
- Re: [sv-cc] revised .pdf: c_layer_v1.pdf, sv_layer_v1.pdf, include_files_v1.pdf (Thu Mar 06 2003 - 06:16:06 PST)
- [sv-cc] revised .fm: c_layer_v1.fm, sv_layer_v1.fm, include_files_v1.fm (Wed Mar 05 2003 - 16:13:31 PST)
- [sv-cc] alternative syntax for extern/export (Wed Mar 05 2003 - 09:31:03 PST)
- Re: [sv-cc] extern/export function declarations (Wed Mar 05 2003 - 08:45:36 PST)
- [sv-cc] representation of SystemVerilog data types (Tue Mar 04 2003 - 16:57:46 PST)
- Re: [sv-cc] SV Layer Feedback (Tue Mar 04 2003 - 08:35:56 PST)
- Re: [sv-cc] Informal poll on constant naming convention (Fri Feb 28 2003 - 08:36:12 PST)
- Re: [sv-cc] DirectC: supporting references in DirectC (Fri Feb 28 2003 - 07:54:10 PST)
- Re: [sv-cc] Re: [sv-ec] default value and initialization for handles (Wed Feb 26 2003 - 11:18:30 PST)
- Re: [sv-cc] DirectC: passing by name, restrictions - summary + solution (Tue Feb 25 2003 - 08:55:18 PST)
- Re: [sv-cc] default values limitations for function/task arguments (Mon Feb 24 2003 - 14:56:29 PST)
- Re: [sv-cc] DirectC: passing by name, restrictions (Mon Feb 24 2003 - 14:44:11 PST)
- Re: [sv-cc] DirectC C side pdf - comments & explanations (Thu Feb 20 2003 - 11:23:42 PST)
- Re: [sv-cc] DirectC: defaults for args, passing by name (Tue Feb 18 2003 - 15:27:03 PST)
- [sv-cc] DirectC: defaults for args, passing by name (Tue Feb 18 2003 - 08:48:01 PST)
- Re: [sv-cc] another directC question - var, immediate propagation (Thu Feb 13 2003 - 10:26:04 PST)
- Re: [sv-cc] another directC question - optional formal arg names (Wed Feb 12 2003 - 10:23:22 PST)
- Re: [sv-cc] another directC question - defaults for args, passing by name (Tue Feb 11 2003 - 16:50:13 PST)
- Re: [sv-cc] another directC question - defaults for args, passing by name (Tue Feb 11 2003 - 16:30:42 PST)
- Re: [sv-cc] another directC question - default argument values? (Tue Feb 11 2003 - 15:18:54 PST)
- Re: [sv-cc] another directC question - skipping params? No way! (Tue Feb 11 2003 - 15:13:58 PST)
- Re: [sv-cc] Polls on Coverage VPI and String datatype (Thu Feb 06 2003 - 12:47:12 PST)
- Re: [sv-cc] DirectC C Layer - (non-portable) access to data (Thu Feb 06 2003 - 10:12:28 PST)
- Re: [sv-cc] DirectC C Layer - revised - 'context' functions (Thu Feb 06 2003 - 09:41:50 PST)
- [sv-cc] Re: Calling SV from C (Thu Feb 06 2003 - 09:08:36 PST)
- [sv-cc] Re: Calling SV from C (Wed Feb 05 2003 - 10:35:16 PST)
- [sv-cc] DirectC C Layer - revised (pdf) (Wed Feb 05 2003 - 09:13:36 PST)
- Re: [sv-cc] DirectC C Layer - revised - 'context' functions (Tue Feb 04 2003 - 17:23:45 PST)
- Re: [sv-cc] DirectC C Layer - revised - pure functions (Tue Feb 04 2003 - 16:46:57 PST)
- Re: [sv-cc] DirectC C Layer - encoding of x/z (Tue Feb 04 2003 - 16:05:33 PST)
- [sv-cc] DirectC C Layer - revised (ascii) (Tue Feb 04 2003 - 08:28:02 PST)
- Re: [sv-cc] DirectC layer: C array indices mapping for unpacked arrays (Tue Feb 04 2003 - 07:25:09 PST)
- Re: [sv-cc] Another directC C mapping question: unused bits, (Mon Feb 03 2003 - 14:29:27 PST)
- Re: [sv-cc] Another directC C mapping question: unused bits, masking (Mon Feb 03 2003 - 13:34:55 PST)
- Re: [sv-cc] DirectC layer: C array indices mapping for packed arrays (Mon Feb 03 2003 - 13:13:05 PST)
- Re: [sv-cc] DirectC layer: C array indices mapping question (Fri Jan 31 2003 - 14:07:52 PST)
- Re: [sv-cc] sv-cc 2 sv-ec: extern/export requirements (Tue Jan 28 2003 - 08:41:59 PST)
- Re: [sv-bc] Re: The Action item for you from the SV-BC (Mon Jan 20 2003 - 16:07:32 PST)
- vote on the DirectC C API (Mon Jan 20 2003 - 13:30:51 PST)
- Re: vote on the direct C api (Mon Jan 20 2003 - 09:42:38 PST)
- Re: SV-CC F2F Meeting Details - 1/23 - agenda? (Fri Jan 17 2003 - 13:20:20 PST)
- Re: DirectC: C Layer - another revision (Thu Jan 16 2003 - 09:20:13 PST)
- Vote on Assertions API (VPI Extensions) (Wed Jan 15 2003 - 10:09:51 PST)
- Re: Special State (Wed Jan 15 2003 - 08:28:56 PST)
- Re: DirectC C layer - open arrays and portability (Tue Jan 14 2003 - 18:39:56 PST)
- DirectC: C Layer - another revision (Tue Jan 14 2003 - 18:10:54 PST)
- Re: DirectC: C layer - naming convention (Tue Jan 14 2003 - 16:32:41 PST)
- Re: DirectC: C layer - indexing of sized and unsized arrays (Tue Jan 14 2003 - 16:24:02 PST)
- DirectC: C layer - naming convention (Tue Jan 14 2003 - 08:34:56 PST)
- Re: DirectC: C layer revised - more (Tue Jan 14 2003 - 08:26:06 PST)
- Re: DirectC: C layer revised (Tue Jan 14 2003 - 08:03:09 PST)
- Re: DirectC C-Layer: open arrays and abstract access - revised (Fri Jan 10 2003 - 14:33:09 PST)
- Re: DirectC C-Layer: open arrays and abstract access - revised (Fri Jan 10 2003 - 11:03:02 PST)
- Re: DirectC: C layer revised: longint --> long long (Fri Jan 10 2003 - 09:59:01 PST)
- DirectC C layer - open arrays and portability - PLEASE READ (Fri Jan 10 2003 - 08:00:38 PST)
- DirectC: C layer revised (Fri Jan 10 2003 - 07:50:45 PST)
- Re: DirectC: C layer - #define vs. typedef enum (Thu Jan 09 2003 - 14:50:56 PST)
- DirectC C-Layer: open arrays and abstract access - revised (Wed Jan 08 2003 - 08:48:07 PST)
- DirectC: access to arrays; pointers & handles (Tue Jan 07 2003 - 16:00:06 PST)
- DirectC: pointers and triggering sensitivity for arrays (Tue Jan 07 2003 - 14:10:14 PST)
- Re: pointers & handles (Tue Jan 07 2003 - 12:34:22 PST)
- DirectC C-Layer: open arrays and abstract access (Fri Dec 13 2002 - 17:25:02 PST)
- Re: next face to face date confirmation - urgent! (Thu Dec 12 2002 - 10:04:02 PST)
- strategy for DirectC (Thu Dec 12 2002 - 10:02:12 PST)
- Re: DirectC: C layer (Wed Dec 11 2002 - 17:55:05 PST)
- Re: DirectC: C layer (Tue Dec 10 2002 - 15:13:27 PST)
- DirectC: C layer - support for bit and part selects (Tue Dec 10 2002 - 14:16:48 PST)
- Re: Meeting reminder 12/10 - Please do your homework! (Tue Dec 10 2002 - 08:31:04 PST)
- DirectC: C layer (Fri Dec 06 2002 - 23:47:29 PST)
- Re: Poll on ISSUE 1.1 (Sun Dec 01 2002 - 16:01:03 PST)
- Re: Poll on ISSUE 1.7 (Sun Dec 01 2002 - 15:48:37 PST)
- SV-CC face-to-face meeting in January - postpone by 1 week? (Fri Nov 29 2002 - 13:10:17 PST)
- DirectC: proposals for Open Issues 1.2, 1.3, 1.8, 1.9, 1.10. (Wed Nov 27 2002 - 13:17:51 PST)
- Context Sensitive Function Calls - more constrains (Tue Nov 26 2002 - 08:35:53 PST)
- Re: More on ISSUE 1.7, More on Context Sensitivity Proposals (Tue Nov 26 2002 - 08:09:57 PST)
- Re: More on ISSUE 1.7: direct access is a must (Fri Nov 22 2002 - 14:21:57 PST)
- Re: Voting on ISSUE 1.6 - My vote (Fri Nov 22 2002 - 10:09:09 PST)
- Re: Modified Proposal ... - modified syntax for "export" (Fri Nov 22 2002 - 10:00:00 PST)
- Re: Modified Proposal ... - modified syntax for "export" (Fri Nov 22 2002 - 06:39:36 PST)
- ISSUE 1.7:DirectC:Abstract Access Method requires rewrite of code (Thu Nov 21 2002 - 14:24:27 PST)
- Re: Modified Proposal ... - modified syntax for "export" (Wed Nov 20 2002 - 11:44:59 PST)
- Re: Modified Proposal ... - modified syntax for "export" (Wed Nov 20 2002 - 08:45:50 PST)
- Re: DirectC proposal: Issues to discuss at today meeting (Tue Nov 19 2002 - 08:50:36 PST)
- revised DirectC proposal aka "17 items" (Mon Nov 18 2002 - 20:04:56 PST)
- Re: Looking ahead (Wed Nov 13 2002 - 08:39:55 PST)
- Re: DirectC: external modules vs. external/exported tasks (Wed Nov 06 2002 - 18:50:41 PST)
- Re: DirectC: external modules vs. external/exported tasks (Wed Nov 06 2002 - 18:36:41 PST)
- DirectC: properties/capabilities of external functions (Wed Nov 06 2002 - 18:20:10 PST)
- Re: issue 1.4: No clear relationship to other APIs (Wed Nov 06 2002 - 17:24:35 PST)
- DirectC: external modules vs. external/exported tasks (Wed Nov 06 2002 - 17:05:36 PST)
- Re: ISSUE:DirectC:How to find C/C++ code ??? (Tue Nov 05 2002 - 10:15:54 PST)
- ISSUE:DirectC - proposal to be discussed today (Tue Oct 29 2002 - 09:04:15 PST)
- Re: $sv-cc - defining memory layout for SV data types (Tue Oct 22 2002 - 06:59:59 PDT)
- Re: $sv-cc - defining memory layout for SV data types (Mon Oct 21 2002 - 06:33:53 PDT)
- Pls. review and comment the requirements for a direct foreign language interface (Fri Aug 09 2002 - 14:11:10 PDT)
- Requirements for a direct foreign language interface (Tue Jul 30 2002 - 14:44:25 PDT)
- Direct-C API donation on behalf of SNPS (Thu Jul 18 2002 - 08:36:08 PDT)
- Arturo Salz
- Avinash Mani
- Bassam Tabbara
- [sv-cc] RE: DPI-OO comments (Wed Oct 12 2011 - 08:23:00 PDT)
- Re: [sv-cc] SV-CC Meeting agenda for Jan-05-2011 (Tue Jan 04 2011 - 17:12:01 PST)
- Re: [sv-cc] Chair Election procedure and Call for Nominations (Tue Jan 04 2011 - 17:05:55 PST)
- [sv-cc] RE: Explaining rational on 3090 (Wed May 26 2010 - 11:43:54 PDT)
- [sv-cc] RE: Mantis item 1581 already done (Wed May 12 2010 - 10:18:48 PDT)
- RE: [sv-cc] Ballot comment 171 (Thu Jul 16 2009 - 09:44:11 PDT)
- RE: [sv-cc] Draft 8 sv_vpi_user.h issues (Fri Jan 09 2009 - 10:21:58 PST)
- RE: [sv-cc] Need to vote on Item 2226 (Wed Oct 08 2008 - 18:16:42 PDT)
- Re: [sv-cc] Editing questions on new let diagrams (Mon Sep 08 2008 - 21:11:08 PDT)
- RE: [sv-cc] Editing questions on new let diagrams (Mon Sep 08 2008 - 19:00:47 PDT)
- Re: [sv-cc] Mantis items against Draft 6 which have not yet been reviewed (Thu Aug 14 2008 - 10:14:04 PDT)
- [sv-cc] RE: vpiAssertion - 1800- clarification (Thu Jun 05 2008 - 08:50:13 PDT)
- [sv-cc] RE: bind in VPI (Mon May 05 2008 - 14:09:19 PDT)
- Re: [sv-cc] RE: [sv-ac] call to vote on 1503 (Fri Apr 18 2008 - 08:31:07 PDT)
- Re: [sv-cc] RE: [sv-ac] call to vote on 1503 (Thu Apr 17 2008 - 20:57:33 PDT)
- [sv-cc] RE: [sv-ac] call to vote on 1503 (Thu Apr 17 2008 - 17:07:20 PDT)
- [sv-cc] 1503 and 2237 (Tue Apr 08 2008 - 09:54:40 PDT)
- [sv-cc] RE: Mantis items 1503 and 2237 (VPI parts) (Wed Apr 02 2008 - 08:29:12 PDT)
- RE: [sv-cc] SV-CC agenda for 01/23/2008 (Tue Jan 29 2008 - 22:20:11 PST)
- [sv-cc] RE: Mantis item 1503: Redoing the formal argument declarations (Fri Jan 25 2008 - 11:44:16 PST)
- [sv-cc] RE: [sv-ac] no VPI changes needed for 1668 (Thu Jan 24 2008 - 13:37:11 PST)
- [sv-cc] RE: 1503 Assertion VPI update (Tue Dec 18 2007 - 15:25:38 PST)
- RE: [sv-cc] SV-CC agenda for 12/19/2007 (Tue Dec 18 2007 - 14:22:45 PST)
- [sv-cc] RE: changes for 1503 uploaded (Tue Dec 18 2007 - 11:56:11 PST)
- [sv-cc] [sv-ac] RE: changes for 1503 uploaded (Tue Dec 18 2007 - 09:51:03 PST)
- [sv-cc] Re: changes for 1503 uploaded (Mon Dec 17 2007 - 16:10:12 PST)
- [sv-cc] RE: changes for 1503 uploaded (Mon Dec 17 2007 - 15:30:25 PST)
- RE: [sv-ac] RE: [sv-cc] mantis 1503 (Mon Dec 17 2007 - 08:57:28 PST)
- RE: [sv-ac] RE: [sv-cc] mantis 1503 (Mon Dec 17 2007 - 08:33:43 PST)
- RE: [sv-ac] RE: [sv-cc] mantis 1503 (Mon Dec 17 2007 - 08:25:30 PST)
- [sv-cc] RE: VPI issues (Mon Oct 29 2007 - 22:01:19 PDT)
- [sv-cc] RE: VPI issues (Mon Oct 29 2007 - 13:41:23 PDT)
- RE: [sv-cc] Read API (Wed Oct 24 2007 - 08:38:02 PDT)
- [sv-cc] Read API (Tue Oct 23 2007 - 19:56:01 PDT)
- [sv-cc] RE: VPI issues (Sun Oct 21 2007 - 13:07:17 PDT)
- Re: [sv-cc] SV-CC Meeting Minutes for 10/10/2007 -- 1599 (Sun Oct 21 2007 - 12:08:48 PDT)
- [sv-cc] RE: vpi Identifier for property and sequence declarations (Mon Oct 15 2007 - 19:50:18 PDT)
- RE: [sv-ac] Re: [sv-cc] question on Assertion iterators (Mon Oct 15 2007 - 13:32:56 PDT)
- RE: [sv-ac] Re: [sv-cc] question on Assertion iterators (Mon Oct 15 2007 - 12:49:08 PDT)
- Re: [sv-cc] question on Assertion iterators (Fri Oct 12 2007 - 14:14:36 PDT)
- Re: [sv-bc] RE: [sv-cc] Read API (Tue Oct 02 2007 - 22:16:09 PDT)
- RE: [sv-cc] Read API (Tue Oct 02 2007 - 18:33:06 PDT)
- RE: [sv-ac] Re: [sv-ec] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] (Fri Sep 21 2007 - 12:15:20 PDT)
- RE: [sv-ac] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] (Fri Sep 21 2007 - 10:04:47 PDT)
- [sv-cc] Xrefs correct in clauses 38/40 of 3a draft (Sun Jun 24 2007 - 17:55:57 PDT)
- RE: [sv-cc] Editor questions on p. 792. (Tue Jun 12 2007 - 08:49:49 PDT)
- [sv-cc] SVA enhancement items (Thu Mar 01 2007 - 12:18:21 PST)
- RE: [sv-cc] Update to Mantis item 1684 (vpiParent proposal) (Wed Jan 10 2007 - 13:37:37 PST)
- Re: [sv-cc] Update to Mantis item 1684 (vpiParent proposal) (Wed Jan 10 2007 - 08:11:29 PST)
- Re: [sv-cc] Update to Mantis item 1684 (vpiParent proposal) (Wed Jan 10 2007 - 08:01:24 PST)
- RE: [sv-cc] Update to Mantis item 1684 (vpiParent proposal) (Tue Jan 09 2007 - 14:32:58 PST)
- RE: [sv-cc] Packed-arrays and related improvements proposal (Sat Nov 18 2006 - 23:13:28 PST)
- RE: [sv-cc] Please RSVP for face-to-face meeting (Wed Sep 13 2006 - 15:06:18 PDT)
- RE: [sv-cc] A possible interpretation for vpi_compare_objects (Fri Aug 04 2006 - 10:50:34 PDT)
- RE: [sv-cc] RE: [sv-bc] FW: mantis item 104: vcd file and data read API (Tue Jun 27 2006 - 16:23:12 PDT)
- RE: [sv-cc] [Fwd: FW: [sv-ac] #1361 proposal] (Wed May 24 2006 - 10:04:57 PDT)
- FW: [sv-cc] assignment pattern expressions information model efficiency (Wed May 10 2006 - 10:33:11 PDT)
- RE: [sv-cc] diagram 27.47 "Patterns" issues (Wed May 10 2006 - 10:11:30 PDT)
- RE: [sv-cc] [Fwd: RE: Issue within the ITC] (Wed Apr 26 2006 - 09:33:02 PDT)
- Re: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Fri Mar 31 2006 - 16:49:32 PST)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Fri Mar 31 2006 - 11:31:21 PST)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Fri Mar 31 2006 - 10:41:43 PST)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Fri Mar 31 2006 - 09:10:17 PST)
- Re: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Thu Mar 30 2006 - 16:04:39 PST)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Wed Mar 29 2006 - 14:01:50 PST)
- RE: [sv-cc] fork join VPI access (Wed Mar 29 2006 - 10:48:30 PST)
- RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al. (Thu Feb 16 2006 - 14:23:17 PST)
- RE: [sv-cc] vpiEndColumn, vpiColumn properties for assertions (Thu Feb 09 2006 - 14:17:41 PST)
- RE: [sv-cc] Email ballot on Item 734 (Tue May 10 2005 - 15:56:20 PDT)
- RE: [sv-cc] item 729 (Mon May 09 2005 - 15:59:32 PDT)
- [sv-cc] Created 727 for the constraint obj of 32.23 (Fri May 06 2005 - 19:32:51 PDT)
- [sv-cc] Added [SystemVerilog Errata 0000726]: Add vpiAssertion object type into sv_vpi_user.h (Fri May 06 2005 - 19:24:21 PDT)
- RE: [sv-cc] SV-CC Meeting minutes for 04/27/2005 (Thu Apr 28 2005 - 21:45:36 PDT)
- RE: [sv-cc] 29 - uses the term "attempt" (Thu Apr 21 2005 - 10:49:29 PDT)
- RE: [sv-cc] Proposal uploaded for 487 (Tue Apr 19 2005 - 08:38:04 PDT)
- [sv-cc] RE: Assertion "and" and "or" operators in VPI (Tue Apr 19 2005 - 08:36:31 PDT)
- RE: [sv-cc] Proposal uploaded for 487 (Mon Apr 18 2005 - 14:44:05 PDT)
- RE: [sv-cc] SV-CC Meeting minutes for 04/15/2005 (Mon Apr 18 2005 - 11:53:53 PDT)
- RE: [sv-cc] SV-CC Meeting minutes for 04/15/2005 (Fri Apr 15 2005 - 15:34:44 PDT)
- [sv-cc] Assertion Control, proposal added to mantis #431 (Thu Apr 14 2005 - 21:44:24 PDT)
- RE: [SPAM] - RE: [sv-cc] Handling trivial issues - Bayesian Filter detected spam (Thu Apr 07 2005 - 12:42:42 PDT)
- [sv-cc] Proposals added to 432, 434, 440, 497, 585, 586, 599, 600, 605 (Wed Apr 06 2005 - 15:48:29 PDT)
- RE: [sv-cc] Mantis Item #536 ready for review (Wed Apr 06 2005 - 13:51:13 PDT)
- RE: [SPAM] - [sv-cc] Proposal for #431 uploaded - Email found in subject (Wed Apr 06 2005 - 12:04:15 PDT)
- RE: [SPAM] - [sv-cc] Proposal for #431 uploaded - Email found in subject (Wed Apr 06 2005 - 11:31:52 PDT)
- RE: [SPAM] - [sv-cc] Proposals added for #422, #423, and #427 - Email found in subject (Wed Apr 06 2005 - 11:08:42 PDT)
- RE: [SPAM] - RE: [sv-cc] Handling trivial issues - Bayesian Filter detected spam (Tue Apr 05 2005 - 14:33:23 PDT)
- RE: [sv-cc] err 373 (Thu Feb 10 2005 - 14:39:56 PST)
- RE: [sv-cc] SV LRM Review 158, 72, 330 et al. (Wed Jan 26 2005 - 09:54:18 PST)
- [sv-cc] RE: SV LRM Review: 277, and 265 (Tue Jan 25 2005 - 16:27:10 PST)
- [sv-cc] SV LRM Review: 277, and 265 (Tue Jan 25 2005 - 16:26:30 PST)
- RE: [sv-cc] FW: [sv-champions] Email vote on items for the 1/26/05 meeting (Fri Jan 21 2005 - 08:44:07 PST)
- RE: [sv-cc] Fixes needed for sv_dpi_user.h (Mon Jan 17 2005 - 12:36:16 PST)
- RE: [sv-cc] Fixes needed for sv_dpi_user.h (Mon Jan 17 2005 - 12:25:53 PST)
- RE: [sv-cc] Fixes needed for sv_dpi_user.h (Mon Jan 17 2005 - 11:20:40 PST)
- RE: [sv-cc] SV-CC Meeting minutes for 01/05/2005 (Wed Jan 05 2005 - 13:30:27 PST)
- RE: [sv-cc] item 50 again (Tue Dec 21 2004 - 16:20:10 PST)
- RE: [sv-cc] item 50 again (Tue Dec 21 2004 - 10:34:33 PST)
- RE: [sv-cc] Let's try to do an email vote. (Mon Dec 20 2004 - 19:24:24 PST)
- RE: [sv-cc] Need to meet today or early tomorrow. (Mon Dec 20 2004 - 11:08:22 PST)
- RE: [sv-cc] Meeting Minutes 12/15/2004 (Wed Dec 15 2004 - 14:35:09 PST)
- RE: [sv-cc] Proposal for Item 053 (Tue Dec 14 2004 - 15:53:14 PST)
- RE: [sv-cc] Revised 265 uploaded (Wed Dec 01 2004 - 08:19:11 PST)
- [sv-cc] Revised 265 uploaded (Tue Nov 30 2004 - 18:07:48 PST)
- [sv-cc] Updated 265 with new proposal (Wed Nov 10 2004 - 15:07:04 PST)
- [sv-cc] Updated 265 (Assertion VPI) (Thu Nov 04 2004 - 15:08:33 PST)
- RE: [sv-cc] Assertion VPI discussion (Thu Oct 28 2004 - 11:29:21 PDT)
- RE: [sv-cc] Assertion VPI discussion (Thu Oct 28 2004 - 11:14:43 PDT)
- [sv-cc] Minor bug submitted (Wed Oct 27 2004 - 17:37:09 PDT)
- [sv-cc] Assertion VPI discussion (Wed Oct 27 2004 - 11:25:22 PDT)
- [sv-cc] New bugs files (Thu Oct 21 2004 - 00:19:38 PDT)
- RE: [sv-cc] vpi_create (Thu May 27 2004 - 13:26:11 PDT)
- [sv-cc] 2 more items for Errata list (Fri Apr 30 2004 - 09:25:44 PDT)
- [sv-cc] Errors in LRM draft6 to add (Wed Apr 21 2004 - 14:28:07 PDT)
- RE: [sv-cc] Meeting reminder - 04/21/2004 (Wed Apr 21 2004 - 09:08:31 PDT)
- RE: [sv-cc] Meeting reminder 04/14/04 (Wed Apr 14 2004 - 08:29:57 PDT)
- [sv-cc] LRM errata (posted already on website) (Wed Mar 24 2004 - 18:31:26 PST)
- RE: [sv-cc] Meeting reminder 02/25 (Wed Feb 25 2004 - 11:08:57 PST)
- [sv-cc] My draft review (Fri Feb 13 2004 - 21:12:43 PST)
- [sv-cc] My draft review (Fri Feb 13 2004 - 21:16:37 PST)
- [sv-cc] Glossary (Fri Jan 30 2004 - 09:26:49 PST)
- RE: [sv-cc] Reader VPI defs/routines to be added to vpi_user.h (Thu Jan 29 2004 - 13:13:02 PST)
- [sv-cc] Reader VPI defs/routines to be added to vpi_user.h (Wed Jan 28 2004 - 16:18:23 PST)
- [sv-cc] RE: READ API with comments (Wed Jan 28 2004 - 13:48:43 PST)
- [sv-cc] Index for Reader VPI chapter (Wed Jan 28 2004 - 13:48:43 PST)
- RE: [sv-cc] partitioning of the review (Wed Jan 28 2004 - 11:06:46 PST)
- RE: [sv-cc] Draft 4 change verification (Mon Jan 26 2004 - 13:54:09 PST)
- [sv-cc] Draft4 errata (Fri Jan 23 2004 - 17:54:47 PST)
- [sv-cc] Minutes for 1/23/04 meeting (Fri Jan 23 2004 - 09:13:44 PST)
- RE: [sv-cc] assertion erratas: 2 minor issues (Wed Jan 21 2004 - 13:55:37 PST)
- RE: [sv-cc] assertion erratas: 2 minor issues (Wed Jan 21 2004 - 11:24:36 PST)
- RE: [sv-cc] Today's SV VPI Update (Mon Jan 19 2004 - 14:29:18 PST)
- RE: [sv-cc] Reader VPI LRM version (Thu Jan 15 2004 - 08:17:53 PST)
- [sv-cc] Reader VPI LRM version (Wed Jan 14 2004 - 17:16:56 PST)
- RE: [sv-cc] ANN: Assertion Errata poll and VPI Ext vote (Wed Jan 14 2004 - 14:52:27 PST)
- RE: [sv-cc] Comments on latest Data Read VPI (Wed Jan 14 2004 - 13:06:38 PST)
- RE: [sv-cc] Updated version of SV VPI extensions (dated Jan 12) (Wed Jan 14 2004 - 08:47:28 PST)
- RE: [sv-cc] Updated rev of Reader VPI extension (1/12/04) -- the list of fixes by end of day (Wed Jan 14 2004 - 08:43:58 PST)
- [sv-cc] Updated rev of Reader VPI extension (1/12/04) (Tue Jan 13 2004 - 16:26:58 PST)
- [sv-cc] Updated rev of Reader VPI extension (1/12/04) (Tue Jan 13 2004 - 16:14:46 PST)
- RE: [sv-cc] Comments w.r.t. Section 29, SystemVerilog Data Read API (Tue Jan 13 2004 - 16:09:33 PST)
- RE: [sv-cc] Fwd: READ api issues (Tue Jan 13 2004 - 14:23:50 PST)
- RE: [sv-cc] Fwd: READ api issues (Tue Jan 13 2004 - 09:47:49 PST)
- [sv-cc] Feedback for VPI extension (assertions) (Mon Jan 12 2004 - 09:19:14 PST)
- RE: [sv-cc] Fwd: READ api issues (Fri Jan 09 2004 - 12:47:55 PST)
- RE: [sv-cc] Comments w.r.t. Section 29, SystemVerilog Data Read API (Thu Jan 08 2004 - 16:45:33 PST)
- [sv-cc] Assertion VPI errata -- Jan 5, 2004 (Mon Jan 05 2004 - 13:05:44 PST)
- RE: [sv-cc] ANN: Vote on the Reader VPI (Mon Jan 05 2004 - 12:14:09 PST)
- RE: [sv-cc] Assertion API errata, 2nd (Fri Jan 02 2004 - 18:44:58 PST)
- RE: [sv-cc] Assertion API errata (Thu Dec 25 2003 - 09:13:57 PST)
- RE: [sv-cc] Assertion API errata (Thu Dec 25 2003 - 09:08:16 PST)
- [sv-cc] Updated SV Read VPI LRM (Fri Dec 19 2003 - 18:23:36 PST)
- RE: [sv-cc] READ API: a couple of thoughts, a new issue and a question (Thu Dec 18 2003 - 17:24:42 PST)
- RE: [sv-cc] READ API: a couple of thoughts, a new issue and a question (Thu Dec 18 2003 - 17:17:06 PST)
- RE: [sv-cc] RE: Feedback on Read API (VPI enhancement) (Wed Dec 17 2003 - 08:50:24 PST)
- RE: [sv-cc] Meeting reminder 12/17 (Tue Dec 16 2003 - 19:06:25 PST)
- [sv-cc] Read API updated version (Sat Dec 13 2003 - 22:14:21 PST)
- RE: [sv-cc] Assertion errata--items to discuss on 12/17 meeting (Thu Dec 11 2003 - 09:05:20 PST)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" (UPDATED: 12/5/03) (Wed Dec 10 2003 - 10:29:15 PST)
- RE: [sv-cc] Assertion errata (Wed Dec 10 2003 - 10:27:10 PST)
- RE: [sv-cc] Further comments on Novas proposal (Sun Dec 07 2003 - 10:22:49 PST)
- RE: [sv-cc] ANN: Ballots for 2 polls (Fri Dec 05 2003 - 16:53:48 PST)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" (UPDATED: 12/3/03) (Wed Dec 03 2003 - 09:04:07 PST)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" (--updated 12/3/03) (Wed Dec 03 2003 - 08:58:53 PST)
- [sv-cc] New revision of data read VPI section (Tue Dec 02 2003 - 11:22:01 PST)
- [sv-cc] Additional (*pending*) Errata for assertions API chapter (Wed Nov 26 2003 - 10:31:10 PST)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" (Wed Nov 26 2003 - 10:31:10 PST)
- RE: [sv-cc] Assertion API questions (Wed Nov 26 2003 - 08:50:20 PST)
- [sv-cc] New rev. of the read API (Tue Nov 25 2003 - 12:22:12 PST)
- RE: [sv-cc] Detailed comments on latest rev of Bassam's proposal (Mon Nov 24 2003 - 12:21:32 PST)
- [sv-cc] SV Read VPI revision (Tue Nov 18 2003 - 18:27:41 PST)
- RE: [sv-cc] SystemVerilog Coverage API (chapter 28) proposed errata (Tue Nov 18 2003 - 09:21:34 PST)
- RE: [sv-cc] ANN: Two votes on SV 3.1A donations (Sun Nov 16 2003 - 22:32:33 PST)
- [sv-cc] SV f2f Wed. Nov. 12: VPI overview minutes (Wed Nov 12 2003 - 14:25:08 PST)
- [sv-cc] New revision for VPI read/write doc (Wed Nov 05 2003 - 15:05:37 PST)
- [sv-cc] Novas VCD donation LRM correction (Wed Oct 29 2003 - 08:52:48 PST)
- [sv-cc] Novas VPI donation in LRM form (Mon Oct 20 2003 - 14:38:01 PDT)
- RE: [sv-cc] Meeting reminder 10/15 (Wed Oct 15 2003 - 08:39:32 PDT)
- RE: [sv-cc] Novas donation on VCD (Mon Oct 06 2003 - 08:13:57 PDT)
- RE: [sv-cc] Poll on errata for open arrays (Fri Aug 29 2003 - 16:46:09 PDT)
- [sv-cc] Novas donation to SystemVerilog value change data access (Wed Aug 06 2003 - 08:45:44 PDT)
- RE: [sv-cc] Meeting minutes from 23-Jul-2003 - SV-CC (Tue Jul 29 2003 - 07:00:24 PDT)
- RE: [sv-cc] assertion API issues (Thu Apr 17 2003 - 10:34:11 PDT)
- RE: [sv-cc] more assertion issues (Thu Apr 17 2003 - 08:04:50 PDT)
- RE: [sv-cc] assertion API (Wed Apr 16 2003 - 14:11:18 PDT)
- RE: [sv-cc] Minutes for 04/08/03 meeting (Tue Apr 08 2003 - 10:55:38 PDT)
- RE: [sv-cc] Meeting reminder - 04/08/03 (Tue Apr 08 2003 - 09:10:07 PDT)
- RE: [sv-cc] Meeting Today Moved to 04/02/03 (Wed Apr 02 2003 - 09:08:41 PST)
- RE: [sv-cc] Header file names? (Sat Mar 08 2003 - 11:16:44 PST)
- RE: [sv-cc] Polls on extern/export and representation of SV data types (Fri Mar 07 2003 - 20:58:02 PST)
- [sv-cc] RE: [sv-ac] Re: assertion/coverage API questions (Tue Mar 04 2003 - 10:22:12 PST)
- [sv-cc] Date: Fri, 28 Feb 2003 15:31:10 -0800 (Fri Feb 28 2003 - 15:31:41 PST)
- RE: RE: [sv-cc] Poll on Issue 1.9 (Michael's Inclusion proposal) (Wed Feb 19 2003 - 19:25:02 PST)
- RE: [sv-cc] Poll on Issue 1.9 (Michael's Inclusion proposal) (Wed Feb 19 2003 - 16:44:33 PST)
- RE: [sv-cc] Polls on Coverage VPI and String datatype--vote (Thu Feb 06 2003 - 12:33:24 PST)
- RE: [sv-cc] Another directC C mapping question (Thu Jan 30 2003 - 15:30:16 PST)
- RE: [sv-cc] DirectC layer: C array indices mapping question (Thu Jan 30 2003 - 15:14:36 PST)
- RE: Assertions API v0.3 (Tue Jan 14 2003 - 16:48:09 PST)
- RE: Assertions API v0.3 (Tue Jan 14 2003 - 10:03:17 PST)
- RE: [Opaque] Pointers (Mon Jan 06 2003 - 13:50:40 PST)
- Re: Poll on ISSUE 1.7 (Wed Nov 27 2002 - 15:32:47 PST)
- [Fwd: Voting on ISSUE 1.6] (Fri Nov 22 2002 - 16:12:58 PST)
- Re: Modified Proposal for Context Sensitive Function Calls (Wed Nov 20 2002 - 10:56:37 PST)
- Re: Modified Proposal for Context Sensitive Function Calls (Wed Nov 20 2002 - 10:44:59 PST)
- ISSUE: Coverage -- A1_BT (from Michael's list) (Thu Oct 17 2002 - 11:12:17 PDT)
- Re: Vote on the assertion API (Tue Oct 08 2002 - 12:35:00 PDT)
- Re: Prioritization on SVCC's Charter, API issues (Mon Oct 07 2002 - 16:31:08 PDT)
- Re: Vote on the assertion API (Mon Oct 07 2002 - 16:14:47 PDT)
- to VPI or not ? (Thu Oct 03 2002 - 15:17:43 PDT)
- Re: SV APIs (Assertion) (Wed Oct 02 2002 - 18:53:49 PDT)
- Re: SV APIs (Assertion) (Tue Oct 01 2002 - 18:20:31 PDT)
- Re: SV APIs (Assertion) (Tue Oct 01 2002 - 10:55:49 PDT)
- Re: [sv-ac] FW: Requirements for debugging capabilities (Fri Sep 27 2002 - 11:27:31 PDT)
- Re: Object Code vs. Source Code compatibility (Tue Sep 03 2002 - 15:42:03 PDT)
- Re: Comments on requirements (Tue Aug 20 2002 - 13:17:21 PDT)
- Re: Coverage API requirements (Mon Aug 19 2002 - 18:08:14 PDT)
- Re: Comments on requirements (Mon Aug 19 2002 - 18:04:54 PDT)
- RE: Proposed requirements for SV assertion API (Thu Aug 15 2002 - 18:56:22 PDT)
- RE: Proposed requirements for SV assertion API (Wed Aug 14 2002 - 17:02:25 PDT)
- RE: Pls. review and comment the requirements for a direct foreign language interface (Mon Aug 12 2002 - 15:49:23 PDT)
- Ben Cohen
- Brad Pierce
- Bresticker, Shalom
- Brophy, Dennis
- Bustan, Doron
- Charles Dawson
- [sv-cc] SV-CC agenda for 05/10/2006 (Tue May 09 2006 - 13:01:13 PDT)
- [sv-cc] I have uploaded a PDF with the proposal for Item 1431 (Fri Apr 28 2006 - 11:22:56 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/26/2006 (Thu Apr 27 2006 - 08:18:17 PDT)
- [sv-cc] [Fwd: RE: Issue within the ITC] (Wed Apr 26 2006 - 09:10:05 PDT)
- [sv-cc] SV-CC agenda for 04/26/2006 (Tue Apr 25 2006 - 10:28:01 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/12/2006 (Fri Apr 14 2006 - 08:05:46 PDT)
- [sv-cc] SV-CC agenda for 04/12/2006 - NOTE the time change (Wed Apr 12 2006 - 07:17:23 PDT)
- [sv-cc] SV-CC Meeting minutes for 03/29/2006 (Fri Apr 07 2006 - 19:46:44 PDT)
- [sv-cc] SV-CC agenda for 03/15/2006 (Tue Mar 28 2006 - 17:12:11 PST)
- [sv-cc] SV-CC Meeting minutes for 03/15/2006 (Wed Mar 15 2006 - 12:36:36 PST)
- [sv-cc] SV-CC agenda for 03/15/2006 (Tue Mar 14 2006 - 14:41:37 PST)
- [sv-cc] SV-CC Meeting minutes for 03/01/2006 (Wed Mar 01 2006 - 13:33:53 PST)
- [sv-cc] SV-CC agenda for 03/01/2006 (Wed Mar 01 2006 - 06:38:29 PST)
- [sv-cc] Should mark Mantis Item 0438 as not fixable (Fri Feb 17 2006 - 11:07:12 PST)
- [sv-cc] SV-CC Meeting minutes for 02/15/2006 (Wed Feb 15 2006 - 13:30:21 PST)
- [sv-cc] Draft of the response to the P1800 committee (Tue Feb 14 2006 - 14:23:39 PST)
- [sv-cc] SV-CC agenda for 02/15/2006 (Tue Feb 14 2006 - 14:00:44 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Geoffrey.Coram" <Geoffrey.Coram@analog.com>]] (Fri Feb 03 2006 - 10:33:47 PST)
- [sv-cc] SV-CC Meeting minutes for 02/01/2006 (Thu Feb 02 2006 - 09:07:09 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [John Stickley <john_stickley@mentor.com>]] (Wed Feb 01 2006 - 13:53:40 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Bradford Jonathan" <Jonathan.Bradford@Micronas.com>]] (Wed Feb 01 2006 - 06:35:45 PST)
- [sv-cc] SV-CC agenda for 02/01/2006 (Tue Jan 31 2006 - 11:48:06 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Faisal Haque \(fhaque\)" <fhaque@cisco.com>]] (Tue Jan 31 2006 - 11:00:30 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Faisal Haque \(fhaque\)" <fhaque@cisco.com>]] (Mon Jan 30 2006 - 14:11:22 PST)
- [sv-cc] SV-CC Meeting minutes for 01/18/2006 (Wed Jan 18 2006 - 11:52:56 PST)
- [sv-cc] SV-CC agenda for 01/18/2005 (Tue Jan 17 2006 - 21:29:50 PST)
- [sv-cc] [Fwd: FW: FW: [sv-bc] Open array mixed with normal array] (Tue Jan 17 2006 - 21:27:48 PST)
- [sv-cc] SV-CC Meeting minutes for 12/07/2005 (Tue Jan 17 2006 - 21:09:55 PST)
- [sv-cc] SV-CC agenda for 12/07/2005 (Wed Dec 07 2005 - 06:15:04 PST)
- [sv-cc] SV-CC Meeting minutes for 11/30/2005 (Wed Dec 07 2005 - 06:12:56 PST)
- [sv-cc] SV-CC Meeting minutes for 11/09/2005 (Fri Nov 11 2005 - 14:11:02 PST)
- Re: [sv-cc] SV-CC agenda for 11/09/2005 (Wed Nov 09 2005 - 07:56:25 PST)
- [sv-cc] SV-CC agenda for 11/08/2005 (Wed Nov 09 2005 - 06:44:14 PST)
- [sv-cc] Assigning Mantis Items (Wed Oct 26 2005 - 13:42:39 PDT)
- [sv-cc] How to work with Mantis (Wed Oct 26 2005 - 13:02:46 PDT)
- [sv-cc] SV-CC Meeting minutes for 10/26/2005 (Wed Oct 26 2005 - 12:25:27 PDT)
- [sv-cc] SV-CC agenda for 10/26/2005 (Wed Oct 26 2005 - 07:14:02 PDT)
- [sv-cc] [Fwd: Errata committees for the P1800 and the P1364] (Mon Oct 17 2005 - 13:58:08 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Dave Scott - MTI south <dscott@model.com>]] (Tue Jul 12 2005 - 11:50:33 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Neil Korpusik <Neil.Korpusik@Sun.COM>]] (Mon Jul 11 2005 - 11:44:09 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Gordon Vreugdenhil <gordonv@model.com>]] (Thu Jul 07 2005 - 12:47:13 PDT)
- [sv-cc] Problems found in sv_vpi_user.h file (Thu Jun 02 2005 - 14:50:30 PDT)
- [sv-cc] [Fwd: LRM review through Friday Midnight] (Thu Jun 02 2005 - 13:48:00 PDT)
- Re: [POSSIBLE VIRUS:###] [sv-cc] [Fwd: P1800/draft5 sv_vpi_user.h file] (Wed Jun 01 2005 - 11:13:08 PDT)
- [sv-cc] [Fwd: P1800/draft5 sv_vpi_user.h file] (Wed Jun 01 2005 - 08:48:42 PDT)
- [sv-cc] [Fwd: Summary of the 5/20/05 Meeting] (Mon May 23 2005 - 05:54:01 PDT)
- [sv-cc] [Fwd: Re: Collecting information for the Balloting spreadsheets] (Wed May 18 2005 - 09:11:19 PDT)
- [sv-cc] SV-CC Meeting minutes for 05/18/2005 (Wed May 18 2005 - 11:05:27 PDT)
- [sv-cc] [Fwd: P1800 instructions for editor - mantis 734, 709, 711 and 712] (Tue May 17 2005 - 18:55:35 PDT)
- [sv-cc] SV-CC agenda for 05/18/2005 (Tue May 17 2005 - 12:10:54 PDT)
- [sv-cc] [Fwd: P1800 instructions for editor - mantis 734, 709, 711] (Tue May 17 2005 - 12:09:00 PDT)
- [sv-cc] Feedback to the Champions committee. (Tue May 10 2005 - 14:56:24 PDT)
- [sv-cc] Email ballot on Item 734 (Tue May 10 2005 - 14:55:01 PDT)
- [sv-cc] SV-CC Meeting minutes for 05/10/2005 (Tue May 10 2005 - 13:41:57 PDT)
- [sv-cc] Latest set of Mantis Items with Proposals (Mon May 09 2005 - 20:20:12 PDT)
- [sv-cc] SV-CC agenda for 05/10/2005 (Mon May 09 2005 - 07:24:44 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Neil Korpusik <Neil.Korpusik@Sun.COM>]] (Mon May 09 2005 - 05:51:32 PDT)
- [sv-cc] Item 536 and a general request (Sat May 07 2005 - 14:16:42 PDT)
- [sv-cc] SV-CC Meeting minutes for 05/06/2005 (Sat May 07 2005 - 13:04:47 PDT)
- [sv-cc] SV-CC agenda for 05/06/2005 (Wed May 04 2005 - 14:27:52 PDT)
- [sv-cc] SV-CC Meeting minutes for 05/04/2005 (Wed May 04 2005 - 13:25:43 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [John Havlicek <john.havlicek@freescale.com>]] (Wed May 04 2005 - 11:33:30 PDT)
- [sv-cc] SV-CC agenda for 05/04/2005 (Tue May 03 2005 - 12:59:32 PDT)
- Re: [sv-cc] Issue #266 - Rev 5 (Tue May 03 2005 - 09:50:17 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/27/2005 (Thu Apr 28 2005 - 14:11:56 PDT)
- [sv-cc] SV-CC agenda for 04/28/2005 (Wed Apr 27 2005 - 09:31:26 PDT)
- [sv-cc] Next SV-CC meeting (Tue Apr 26 2005 - 07:40:23 PDT)
- [Fwd: RE: [sv-cc] 29 - uses the term "attempt"] (Thu Apr 21 2005 - 10:52:45 PDT)
- [sv-cc] 29 - uses the term "attempt" (Thu Apr 21 2005 - 10:10:52 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/20/2005 (Wed Apr 20 2005 - 14:53:56 PDT)
- [sv-cc] Schedule extension (Tue Apr 19 2005 - 12:21:45 PDT)
- [sv-cc] SV-CC agenda for 04/20/2005 (Mon Apr 18 2005 - 11:20:11 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/15/2005 (Fri Apr 15 2005 - 12:52:39 PDT)
- [sv-cc] SV-CC agenda for 04/15/2005 (Thu Apr 14 2005 - 15:19:02 PDT)
- [sv-cc] Proposal for Item 372. (Thu Apr 14 2005 - 14:48:51 PDT)
- [Fwd: Re: [sv-cc] Definition of full name in 1364] (Thu Apr 14 2005 - 12:56:06 PDT)
- [sv-cc] Definition of full name in 1364 (Thu Apr 14 2005 - 12:39:43 PDT)
- [sv-cc] Next SV-CC meeting (Wed Apr 13 2005 - 13:44:32 PDT)
- [sv-cc] Availablility for a meeting at the end of this week/early next week (Mon Apr 11 2005 - 14:19:19 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/11/2005 (Mon Apr 11 2005 - 14:13:57 PDT)
- [sv-cc] SV-CC agenda for 04/11/2005 (Mon Apr 11 2005 - 06:39:05 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/08/2005 (Fri Apr 08 2005 - 18:14:59 PDT)
- [sv-cc] More trivial items (Thu Apr 07 2005 - 15:24:55 PDT)
- [sv-cc] SV-CC agenda for 04/08/2005 (Thu Apr 07 2005 - 14:02:48 PDT)
- Re: [SPAM] - [sv-cc] Proposal for #431 uploaded - Email found in subject (Wed Apr 06 2005 - 11:44:38 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/05/2005 (Tue Apr 05 2005 - 14:18:58 PDT)
- [sv-cc] [Fwd: Voting Rights in the Committees] (Tue Apr 05 2005 - 14:17:48 PDT)
- [sv-cc] SV-CC agenda for 04/05/2005 (Thu Mar 31 2005 - 14:21:42 PST)
- Re: [sv-cc] Assignment of minor SV-CC issues (Thu Mar 31 2005 - 13:21:45 PST)
- [sv-cc] Assignment of minor SV-CC issues (Thu Mar 31 2005 - 11:49:51 PST)
- [sv-cc] Handling trivial issues (Thu Mar 31 2005 - 11:03:49 PST)
- [Fwd: RE: [sv-cc] SV-CC meetings] (Tue Mar 29 2005 - 06:07:11 PST)
- [sv-cc] SV-CC meetings (Mon Mar 28 2005 - 11:14:05 PST)
- [sv-cc] Need your schedule to plan upcoming meetings (Wed Mar 23 2005 - 10:37:08 PST)
- [sv-cc] SV-CC committee face to face meeting on April 6, 2005 (Fri Mar 18 2005 - 13:40:51 PST)
- [sv-cc] Face-to-face meeting? (Fri Mar 04 2005 - 12:33:28 PST)
- [sv-cc] SV-CC meeting on 3/2/2005 CANCELED (Tue Mar 01 2005 - 13:51:46 PST)
- [sv-cc] [Fwd: Re: [P1800] Questions on our activities during the ballot period.] (Wed Feb 23 2005 - 11:47:37 PST)
- [sv-cc] Questions on our activities during the ballot period. (Wed Feb 23 2005 - 10:22:56 PST)
- [sv-cc] SV-CC Meeting minutes for 02/23/2005 (Wed Feb 23 2005 - 10:17:26 PST)
- [sv-cc] [Fwd: Please Read: Schedule for responding to balloting feedback] (Wed Feb 23 2005 - 09:37:02 PST)
- [sv-cc] SV-CC agenda for 02/23/2005 (Tue Feb 22 2005 - 10:03:10 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Stuart Sutherland" <stuart@sutherland.com>]] (Fri Feb 11 2005 - 07:00:04 PST)
- Re: [sv-cc] err 373 (Thu Feb 10 2005 - 13:34:45 PST)
- [sv-cc] SV-CC Meeting minutes for 02/09/2005 (Wed Feb 09 2005 - 10:00:27 PST)
- [sv-cc] SV-CC agenda for 02/09/2005 (Wed Feb 09 2005 - 07:20:34 PST)
- [sv-cc] Re: vpi_user.h: PLI error information structure (Thu Feb 03 2005 - 05:54:10 PST)
- [sv-cc] SV-CC Meeting minutes for 02/02/2005 (Wed Feb 02 2005 - 11:26:28 PST)
- [sv-cc] SV-CC agenda for 02/02/2005 - please note the time change! (Tue Feb 01 2005 - 06:41:35 PST)
- [sv-cc] SV-CC Meeting minutes for 01/26/2005 (Wed Jan 26 2005 - 10:49:56 PST)
- [sv-cc] SV-CC agenda for 01/26/2005 (Wed Jan 26 2005 - 07:30:23 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Neil Korpusik <Neil.Korpusik@Sun.COM>]] (Fri Jan 21 2005 - 13:01:56 PST)
- [sv-cc] Issues found in my review. (Thu Jan 20 2005 - 09:43:46 PST)
- [Fwd: [sv-cc] Schedule and process for reviewing incorporated Items] (Wed Jan 19 2005 - 13:07:07 PST)
- [sv-cc] SV-CC Meeting minutes for 01/19/2005 (Wed Jan 19 2005 - 10:46:24 PST)
- [sv-cc] SV-CC agenda for 01/19/2005 (Tue Jan 18 2005 - 14:59:39 PST)
- [sv-cc] Schedule and process for reviewing incorporated Items (Fri Jan 14 2005 - 08:51:14 PST)
- [sv-cc] SV-CC Meeting minutes for 01/12/2005 (Thu Jan 13 2005 - 08:26:40 PST)
- [sv-cc] Food for thought (Wed Jan 12 2005 - 08:29:30 PST)
- [sv-cc] SV-CC agenda for 01/12/2005 (Mon Jan 10 2005 - 13:43:41 PST)
- [sv-cc] SV-CC Meeting minutes for 01/05/2005 (Wed Jan 05 2005 - 10:48:13 PST)
- [sv-cc] SV-CC agenda for 01/05/2005 (Wed Jan 05 2005 - 07:20:20 PST)
- [sv-cc] SV-CC Meeting minutes for 12/22/2004 (Wed Dec 22 2004 - 10:59:53 PST)
- [sv-cc] Proposed wording (Wed Dec 22 2004 - 09:45:56 PST)
- [sv-cc] SV-CC agenda for 12/22/2004 (Tue Dec 21 2004 - 13:22:29 PST)
- [sv-cc] Results of our email vote on 053, 062, 077, 342 (Tue Dec 21 2004 - 09:08:00 PST)
- [sv-cc] Proposal now available for Item 053 (Mon Dec 20 2004 - 13:20:19 PST)
- [sv-cc] Let's try to do an email vote. (Mon Dec 20 2004 - 11:44:16 PST)
- [sv-cc] Need to meet today or early tomorrow. (Mon Dec 20 2004 - 08:32:59 PST)
- [sv-cc] SV-CC agenda for 12/15/2004 (Tue Dec 14 2004 - 14:37:11 PST)
- [sv-cc] Proposal for Item 053 (Tue Dec 14 2004 - 14:21:34 PST)
- [sv-cc] Proposals for 54-56 and 332. (Fri Dec 10 2004 - 11:17:32 PST)
- [sv-cc] SV-CC Meeting minutes for 12/08/2004 (Wed Dec 08 2004 - 15:02:25 PST)
- [sv-cc] [Fwd: [P1800] P1364-2005 Draft 4 available] (Wed Dec 08 2004 - 10:56:14 PST)
- [sv-cc] SV-CC agenda for 12/08/2004 (Tue Dec 07 2004 - 15:13:34 PST)
- [sv-cc] [Fwd: Issue 50: Action Item] (Mon Dec 06 2004 - 07:58:05 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Steven Sharp <sharp@cadence.com>]] (Fri Dec 03 2004 - 08:28:32 PST)
- [sv-cc] SV-CC Meeting minutes for 12/01/2004 (Wed Dec 01 2004 - 13:03:33 PST)
- [sv-cc] Immediate items - my observations and opinions (Wed Dec 01 2004 - 08:08:20 PST)
- [sv-cc] Immediate items that don't have a proposal (Wed Dec 01 2004 - 06:57:58 PST)
- Re: [sv-cc] Revised 265 uploaded (Tue Nov 30 2004 - 20:49:51 PST)
- [sv-cc] SV-CC agenda for 12/01/2004 (Tue Nov 30 2004 - 20:02:33 PST)
- [sv-cc] Additional proposals added (Tue Nov 30 2004 - 19:35:30 PST)
- [sv-cc] SV-CC Meeting minutes for 11/24/2004 (Tue Nov 30 2004 - 17:18:31 PST)
- [sv-cc] [Fwd: RE: SV-CC meeting tomorrow] (Tue Nov 30 2004 - 17:02:32 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Stuart Sutherland" <stuart@sutherland-hdl.com>]] (Tue Nov 30 2004 - 16:20:01 PST)
- [sv-cc] [Fwd: Re: Immediate priority issues] (Tue Nov 30 2004 - 13:03:46 PST)
- [sv-cc] (Fwd) BOUNCE sv-cc@eda.org: Non-member submission from ["Stuart Sutherland" <stuart@sutherland-hdl.com>] (Wed Nov 24 2004 - 08:51:49 PST)
- [sv-cc] SV-CC agenda for 11/24/2004 (Mon Nov 22 2004 - 18:28:18 PST)
- [sv-cc] [Fwd: Rev 3 of Data Types on Nets Proposal] (Fri Nov 19 2004 - 12:29:31 PST)
- [sv-cc] Item 313: PTF 296: Generate stmts will need change made in VPI (Thu Nov 18 2004 - 14:12:44 PST)
- [sv-cc] Non-member submissions from last night on types on nets (Thu Nov 18 2004 - 06:34:09 PST)
- [sv-cc] Deadline! (Wed Nov 17 2004 - 13:27:09 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Kathy McKinley <mckinley@cadence.com>]] (Wed Nov 17 2004 - 12:52:51 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Mark Hartoog" <Mark.Hartoog@synopsys.com>]] (Wed Nov 17 2004 - 12:31:02 PST)
- [sv-cc] SV-CC Meeting minutes for 11/17/2004 (Wed Nov 17 2004 - 12:01:20 PST)
- Re: [sv-cc] ptf-passed issues (Wed Nov 17 2004 - 08:21:38 PST)
- [sv-cc] SV-CC agenda for 11/17/2004 (Tue Nov 16 2004 - 13:23:35 PST)
- Re: [sv-cc] PTF items and a proposal for PTF 342. (Tue Nov 16 2004 - 08:53:57 PST)
- [sv-cc] PTF items and a proposal for PTF 342. (Tue Nov 16 2004 - 06:54:36 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Maidment, Matthew R" <matthew.r.maidment@intel.com>]] (Mon Nov 15 2004 - 11:44:38 PST)
- [sv-cc] SV-CC Meeting minutes for 11/10/2004 (Wed Nov 10 2004 - 12:51:30 PST)
- [sv-cc] SV-CC agenda for 11/10/2004 (Tue Nov 09 2004 - 15:46:13 PST)
- [sv-cc] SV-CC Meeting minutes for 11/03/2004 (Mon Nov 08 2004 - 14:47:38 PST)
- [sv-cc] Meeting minutes (Thu Nov 04 2004 - 07:10:20 PST)
- [sv-cc] SV-CC agenda for 11/03/2004 (Tue Nov 02 2004 - 14:50:16 PST)
- [sv-cc] SV-CC Meeting minutes for 10/26/2004 (Wed Oct 27 2004 - 12:27:04 PDT)
- [sv-cc] SV-CC agenda for 10/27/2004 (Tue Oct 26 2004 - 12:56:31 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Maidment, Matthew R" <matthew.r.maidment@intel.com>]] (Fri Oct 22 2004 - 13:39:37 PDT)
- [sv-cc] Database assignments (Wed Oct 20 2004 - 14:14:37 PDT)
- [sv-cc] SV-CC Meeting minutes for 10/20/2004 (Wed Oct 20 2004 - 11:29:14 PDT)
- [sv-cc] SV-CC agenda for 10/20/2004 (Wed Oct 20 2004 - 06:27:39 PDT)
- [sv-cc] SV-CC Meeting minutes for 10/13/2004 (Thu Oct 14 2004 - 09:52:25 PDT)
- [sv-cc] [Fwd: SVDB Process document] (Wed Oct 13 2004 - 09:10:15 PDT)
- [sv-cc] Changes to SV-CC database items (Tue Oct 12 2004 - 14:52:14 PDT)
- [sv-cc] SV-CC agenda for 10/13/2004 (Tue Oct 12 2004 - 09:40:47 PDT)
- [sv-cc] SV-CC Meeting minutes for 10/06/2004 (Fri Oct 08 2004 - 12:46:29 PDT)
- [sv-cc] SV-CC agenda for 10/06/2004 (Mon Oct 04 2004 - 13:46:03 PDT)
- [sv-cc] SV-CC Meeting minutes for 09/29/2004 (Fri Oct 01 2004 - 13:32:23 PDT)
- [sv-cc] SV-CC agenda for 9/29/2004 (Wed Sep 29 2004 - 08:02:30 PDT)
- [sv-cc] SV-CC Meeting minutes for 09/22/2004 (Wed Sep 22 2004 - 14:31:42 PDT)
- [sv-cc] SV-CC agenda for 9/22/2004 (Tue Sep 21 2004 - 14:38:05 PDT)
- [sv-cc] SV-CC agenda for 9/22/2004 (Tue Sep 21 2004 - 14:01:26 PDT)
- [sv-cc] SV-CC Meeting minutes for 09/15/2004 (Fri Sep 17 2004 - 13:49:51 PDT)
- [sv-cc] SV-CC agenda for 9/15/2004 (Wed Sep 15 2004 - 08:23:18 PDT)
- [sv-cc] [Fwd: Call for participation: IEEE 1800 CC - errata committee] (Tue Sep 14 2004 - 14:54:30 PDT)
- Charlie Dawson
- Chippewea@aol.com
- Chuck Berking
- Clifford E. Cummings
- Dalio, Debi
- Daniel Mlynek
- Darrell Parham
- Dave Rich
- David W. Smith
- Dennis Brophy
- Dhiraj Kumar Prasad
- Duncan, Ralph
- [sv-cc] RE: DPI-OO: enums (Wed Aug 24 2011 - 10:24:53 PDT)
- [sv-cc] Mantis 1570: integer and time types (Thu Aug 24 2006 - 10:40:51 PDT)
- [sv-cc] FW: Jim's 3 context scenarios (Tue Jun 06 2006 - 13:55:21 PDT)
- [sv-cc] Context items: (1456,1488 mods), C setjmp/longjmp, pure/context (Tue Jun 06 2006 - 10:17:02 PDT)
- [sv-cc] Modifications to 1456 and 1488 (Wed May 24 2006 - 16:28:39 PDT)
- [sv-cc] Mantis item 1488: Context clarification (Tue May 23 2006 - 15:05:07 PDT)
- RE: [sv-cc] 1456 (context behavior): context follow-up (Tue May 23 2006 - 08:33:56 PDT)
- [sv-cc] 1456 (context behavior): modified proposal (Mon May 22 2006 - 10:49:37 PDT)
- [sv-cc] DPI 'context' mechanics (ref: item 1456) (Thu May 11 2006 - 11:15:34 PDT)
- [sv-cc] Mantis item 1456 DPI context calls and utilities (Mon May 08 2006 - 14:02:33 PDT)
- [sv-cc] Mantis #1395 (unsized, packed dimensions): proposal (Mon Apr 03 2006 - 14:38:48 PDT)
- [sv-cc] Mantis item 1395: Open arrays: unsized packed dimensions (Tue Mar 28 2006 - 16:28:07 PST)
- [sv-cc] Mantis item 1343 updated proposal (Thu Mar 16 2006 - 14:52:57 PST)
- [sv-cc] Mantis items 1322, 1343: proposals (Wed Mar 15 2006 - 15:59:50 PST)
- [sv-cc] 1343 unpacked array index correspondence (Tue Mar 14 2006 - 15:50:59 PST)
- [sv-cc] Index array correspondence: combining two insights (Fri Mar 10 2006 - 09:14:50 PST)
- [sv-cc] Item 1322 (DPI unions): new write-up (Tue Feb 28 2006 - 17:03:34 PST)
- [sv-cc] DPI unions as parameters (Tue Jan 31 2006 - 16:59:24 PST)
- [sv-cc] Updated proposal for 1269 -- Open Arrays (esp. output issues) (Thu Jan 19 2006 - 14:09:17 PST)
- RE: [sv-cc] Email ballot on Item 734 (Tue May 10 2005 - 15:28:19 PDT)
- [sv-cc] Draft 5 Review (Fri May 06 2005 - 15:00:35 PDT)
- [sv-cc] Proposal for 487 uploaded (Tue May 03 2005 - 18:01:20 PDT)
- [sv-cc] Item 526 (vpiValid): issues and concerns (Tue Apr 19 2005 - 16:59:08 PDT)
- [sv-cc] Proposal uploaded for 487 (Thu Apr 14 2005 - 16:03:57 PDT)
- [sv-cc] uploaded proposal for 604 (Thu Apr 14 2005 - 14:38:34 PDT)
- RE: [sv-cc] Availablility for a meeting at the end of this week/early next week (Tue Apr 12 2005 - 08:23:00 PDT)
- [sv-cc] Item 160 cross-reference & item 386 (Fri Feb 18 2005 - 16:57:54 PST)
- [sv-cc] Item 160 (Fix fine but one other nit) (Fri Feb 18 2005 - 16:29:42 PST)
- RE: [sv-cc] err 373 (Thu Feb 10 2005 - 13:46:39 PST)
- [sv-cc] Part Select Utilities: Zeroing and Width parameter (Wed Feb 02 2005 - 08:54:57 PST)
- [sv-cc] Part Select Utilities: Semantic Clarification (Tue Feb 01 2005 - 19:33:00 PST)
- [sv-cc] Correction for 1800 LRM re: issue #160 (Fri Jan 21 2005 - 10:30:14 PST)
- [sv-cc] Request for proxy vote: SV-CC 12/22/04 meeting (Tue Dec 21 2004 - 17:21:10 PST)
- RE: [sv-cc] item 50 again (string name) (Tue Dec 21 2004 - 10:59:57 PST)
- [sv-cc] email vote [53,62,77,342] (Mon Dec 20 2004 - 13:49:51 PST)
- RE: [sv-cc] Need to meet today or early tomorrow. (Mon Dec 20 2004 - 08:50:37 PST)
- [sv-cc] Item 49 (fn return result): ready (Tue Nov 30 2004 - 16:04:55 PST)
- RE: [sv-cc] Item 160: define 'linearize' multiple packed dimensions (Mon Nov 29 2004 - 13:15:10 PST)
- [sv-cc] Item 160: define 'linearize' multiple packed dimensions (Mon Nov 29 2004 - 10:40:22 PST)
- [sv-cc] Proposal 318 (2 utility functions) (Mon Nov 22 2004 - 10:41:56 PST)
- [sv-cc] SV-CC Minutes for 11/03/04: tallies (Tue Nov 09 2004 - 08:59:05 PST)
- [sv-cc] Item 205: 64-bit concerns (Wed Nov 03 2004 - 08:01:25 PST)
- [sv-cc] Packed Array macros (205, 278) (Tue Nov 02 2004 - 16:40:48 PST)
- RE: [sv-cc] Item 205 proposal: errors and changes (Tue Oct 26 2004 - 13:47:25 PDT)
- [sv-cc] Item 205, proposal ready, summary (Tue Oct 26 2004 - 10:33:44 PDT)
- [sv-cc] Packed Type Compatibility: alternative approaches (Wed Oct 20 2004 - 14:05:49 PDT)
- [sv-cc] Packed types (205): discovery and request (Mon Oct 11 2004 - 13:42:33 PDT)
- [sv-cc] SV-CC agenda for 10/06/2004 (Wed Oct 06 2004 - 08:47:47 PDT)
- [sv-cc] Subdividing 205... Recounting specifics (Mon Oct 04 2004 - 15:48:16 PDT)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 08/11/2004 (Wed Aug 11 2004 - 15:17:32 PDT)
- [sv-cc] Errata for SV3.1A (Multiple Packed Array Dimensions) (Tue Aug 10 2004 - 10:34:58 PDT)
- [sv-cc] Errata? Handling DPI parameters of type 'reg' (Tue Jul 27 2004 - 13:21:48 PDT)
- [sv-cc] Import fn return value datatype: default issue (Tue Jul 20 2004 - 17:11:02 PDT)
- [sv-cc] Errata for SV3.1A (Imported Task Return Type) (Thu Jun 17 2004 - 13:51:21 PDT)
- [sv-cc] Errata for SV3.1A (3 section references) (Tue Jun 08 2004 - 15:20:25 PDT)
- [sv-cc] Errata for SV3.1A (2 typos) (Fri May 14 2004 - 10:23:56 PDT)
- RE: [sv-cc] Meeting reminder - 04/21/2004 (Wed Apr 21 2004 - 09:30:29 PDT)
- RE: [sv-cc] Meeting reminder - 04/21/2004 (Wed Apr 21 2004 - 09:19:21 PDT)
- [sv-cc] Suggest LRM warning: lack of certain type equivalences (Thu Mar 18 2004 - 13:37:53 PST)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 03/17/04 (Wed Mar 17 2004 - 10:09:04 PST)
- [sv-cc] Draft 5 Changes Review Request: Part-Select (Wed Feb 25 2004 - 10:30:02 PST)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 02/25/04 (Wed Feb 25 2004 - 10:00:26 PST)
- [sv-cc] Draft 5 Changes Rev. Request - 4state Parts (no param change) (Tue Feb 24 2004 - 14:23:09 PST)
- [sv-cc] Draft 5 Changes Review Request - 4-state part select indexing (Tue Feb 24 2004 - 14:07:52 PST)
- [sv-cc] DPI Task Index/Glossary (resend) (Fri Jan 30 2004 - 10:52:48 PST)
- [sv-cc] DPI Task (and Fn) Index, Glossary material (Fri Jan 30 2004 - 10:42:25 PST)
- [sv-cc] Meeting Minutes: SV-CC Special Meeting 01-12-2004 (Mon Jan 12 2004 - 14:35:51 PST)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 12-10-2003 (Wed Dec 10 2003 - 17:14:18 PST)
- [sv-cc] ANN: Ballots for 2 polls (Mon Dec 08 2003 - 10:05:14 PST)
- [sv-cc] Minutes for 10/29/03 SV-CC Meeting (Thu Oct 30 2003 - 16:38:02 PST)
- RE: [sv-cc] Vote/Poll/Review (Tue Oct 14 2003 - 17:45:26 PDT)
- [sv-cc] FW: Errata: LRM 26.4.1.2: Import Fn Arg Direction (Tue Sep 30 2003 - 14:11:05 PDT)
- Eduard Cerny
- Even-haim, Daniel
- Feldman, Yulik
- Fitzpatrick, Tom
- Francoise Martinolle
- RE: [sv-cc] Object of class of objects as a property (Thu Jan 16 2014 - 07:28:25 PST)
- RE: [sv-cc] Callbacks on virtual interface var - proposal (Mon Sep 02 2013 - 12:07:56 PDT)
- RE: [sv-cc] SV-CC Agenda for May-16-2012 (Wed May 23 2012 - 08:47:31 PDT)
- [sv-cc] feedback for mantis item 3423 (Mon Nov 21 2011 - 10:31:51 PST)
- RE: [sv-cc] RE: Mantis 3737 (AA ranges) proposal updated (Fri Oct 14 2011 - 10:48:02 PDT)
- RE: [sv-cc] RE: Mantis 3737 (AA ranges) proposal updated (Fri Oct 14 2011 - 10:14:22 PDT)
- [sv-cc] More comments (Wed Oct 12 2011 - 09:02:33 PDT)
- [sv-cc] some responses to some comments (Wed Oct 12 2011 - 08:47:52 PDT)
- [sv-cc] RE: DPI-OO comments (Tue Oct 04 2011 - 17:50:52 PDT)
- [sv-cc] DPI-OO comments (Mon Oct 03 2011 - 05:56:13 PDT)
- [sv-cc] RE: Mantis 3737 (AA ranges) proposal updated (Fri Sep 30 2011 - 10:55:33 PDT)
- RE: [sv-cc] WIN32 and _WIN32 define (Thu Aug 04 2011 - 09:39:11 PDT)
- [sv-cc] uploaded proposal for 3118 (Wed Apr 13 2011 - 09:45:47 PDT)
- [sv-cc] 3423 (Wed Mar 30 2011 - 09:57:55 PDT)
- [sv-cc] FW: [sv-champions] Email vote - Ending December 13th (Thu Dec 16 2010 - 19:20:44 PST)
- [sv-cc] RE: [Fwd: [SystemVerilog P1800 0002621]: Ballot comment #155 vpiSize should return an error when applied on a vpiFunction returning string] (Tue May 19 2009 - 07:55:14 PDT)
- [sv-cc] CC mantis items which need input from BC (Wed Apr 08 2009 - 10:41:50 PDT)
- [sv-cc] 1775 (Wed Feb 11 2009 - 09:00:03 PST)
- RE: [sv-cc] Need to vote on Item 2226 (Thu Oct 09 2008 - 09:07:23 PDT)
- [sv-cc] dowloading draft 7 (Wed Oct 01 2008 - 10:09:34 PDT)
- [sv-cc] DPI version (Mon Aug 25 2008 - 12:38:54 PDT)
- [sv-cc] mantis item 2099 (Mon Jun 23 2008 - 09:44:03 PDT)
- [sv-cc] RE: [P1800] sv-sc Meeting agenda - April 21 (Mon Apr 21 2008 - 09:05:33 PDT)
- [sv-cc] FW: Feedback on 2226 (Thu Mar 20 2008 - 19:14:29 PDT)
- [sv-cc] meeting (Wed Feb 06 2008 - 10:02:57 PST)
- [sv-cc] mantis item 1757 (Thu Dec 20 2007 - 09:26:33 PST)
- [sv-cc] mantis item 1898 (Thu Dec 20 2007 - 09:17:40 PST)
- [sv-cc] 1741 proposal issue (Wed Aug 15 2007 - 09:44:02 PDT)
- [sv-cc] mantis item 1603 (champions review) (Thu Jul 26 2007 - 08:26:28 PDT)
- RE: [sv-cc] Meeting minutes for 04/25/2007 (Wed May 09 2007 - 10:19:14 PDT)
- [sv-cc] question from the CC committee (Wed May 09 2007 - 10:05:42 PDT)
- [sv-cc] FW: [sv-ec] 890 scheduling algorithm questions (Mon Apr 16 2007 - 18:08:41 PDT)
- [sv-cc] mantis item 1764 (Mon Mar 19 2007 - 14:25:19 PDT)
- FW: [sv-ec] RE: [sv-cc] PDF version of clean Scheduling Proposal (Mon Mar 05 2007 - 11:23:22 PST)
- RE: [sv-cc] Last Call - RSVP for IEEE P1800 WG Meeting (Tue Feb 20 2007 - 07:18:09 PST)
- FW: [sv-cc] PDF version of clean Scheduling Proposal (Wed Feb 07 2007 - 05:45:04 PST)
- [sv-cc] FW: [sv-bc] Please look at SV-AC issue 1549, new keyword being voted on (Wed Sep 13 2006 - 07:42:53 PDT)
- [sv-cc] FW: [sv-ec] 1800 PAR (Wed Aug 16 2006 - 09:25:32 PDT)
- [sv-cc] RE: [sv-ec] Covergroup information in VPI object model (Fri Aug 11 2006 - 03:43:26 PDT)
- [sv-cc] FW: mantis item 104: vcd file and data read API (Wed Jun 21 2006 - 09:54:43 PDT)
- RE: [sv-cc] 1456 (context behavior): modified proposal (Tue May 23 2006 - 04:30:19 PDT)
- [sv-cc] virtual interfaces information model (Tue May 16 2006 - 13:04:40 PDT)
- RE: [sv-cc] Ref: SV-CC meeting of 4/26: IEEE1800-2005 - Invoking DPI export functions/tasks from non context import functions/tasks (Tue May 09 2006 - 19:09:47 PDT)
- [sv-cc] clocking blocks in modports (Wed Apr 26 2006 - 04:50:46 PDT)
- [sv-cc] assignment pattern expressions information model efficiency (Tue Apr 25 2006 - 20:50:06 PDT)
- [sv-cc] diagram 27.47 "Patterns" issues (Tue Apr 25 2006 - 20:50:06 PDT)
- [sv-cc] submitted mantis items 1434 and 1435 for fork join issues (Mon Apr 24 2006 - 13:46:34 PDT)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Fri Mar 31 2006 - 12:01:42 PST)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Fri Mar 31 2006 - 09:29:55 PST)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Wed Mar 29 2006 - 19:55:11 PST)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Wed Mar 29 2006 - 19:55:11 PST)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Wed Mar 29 2006 - 13:00:09 PST)
- RE: [sv-cc] fork join VPI access (Thu Mar 23 2006 - 09:23:02 PST)
- [sv-cc] fork join VPI access (Tue Mar 21 2006 - 20:33:50 PST)
- RE: [sv-cc] Unpacked array argument index correspondance (Wed Feb 15 2006 - 11:01:20 PST)
- [sv-cc] vpiEndColumn, vpiColumn properties for assertions (Thu Feb 09 2006 - 12:03:41 PST)
- [sv-cc] another errata on dynamic arrays: 662 (Wed Jan 18 2006 - 14:11:24 PST)
- [sv-cc] dynamic arrays can be dynamic arrays of dynamic arrays (Wed Jan 18 2006 - 14:11:24 PST)
- RE: [sv-cc] [Fwd: FW: FW: [sv-bc] Open array mixed with normal array] (Wed Jan 18 2006 - 06:12:53 PST)
- RE: [sv-cc] Please confirm fix for bug note in Mantis 528 (Tue Jun 07 2005 - 18:41:48 PDT)
- [sv-cc] item 447 (Wed May 11 2005 - 10:43:30 PDT)
- [sv-cc] Please see errata 734 (Wed May 11 2005 - 08:55:25 PDT)
- RE: [sv-cc] Typespec diagram : Section 32.17 (Wed May 11 2005 - 08:51:33 PDT)
- RE: [sv-cc] Email ballot on Item 734 (Wed May 11 2005 - 07:12:01 PDT)
- [sv-cc] EC issues to be reviewed by the CC committee (Tue May 10 2005 - 08:46:49 PDT)
- RE: [sv-cc] Latest set of Mantis Items with Proposals (Tue May 10 2005 - 07:49:35 PDT)
- [sv-cc] uploaded proposal for 719 (Tue May 10 2005 - 07:36:12 PDT)
- [sv-cc] item 729 (Mon May 09 2005 - 14:39:46 PDT)
- [sv-cc] I added a bugnote for my analysis of 706 (Mon May 09 2005 - 10:53:04 PDT)
- [sv-cc] I added a bugnote to 480 (Mon May 09 2005 - 10:36:39 PDT)
- [sv-cc] uploaded 528 proposal with Jim suggestions for note 3 (Wed May 04 2005 - 10:03:16 PDT)
- RE: [sv-cc] errata 458 (Tue May 03 2005 - 20:24:50 PDT)
- RE: [sv-cc] uploaded proposal for 489 with fixes from previous meeting (Tue May 03 2005 - 20:15:41 PDT)
- [sv-cc] added a bugnote to 450 (Tue May 03 2005 - 11:00:26 PDT)
- [sv-cc] added clarification bug note to 451 (Tue May 03 2005 - 10:52:04 PDT)
- [sv-cc] errata 458 (Tue May 03 2005 - 10:07:46 PDT)
- [sv-cc] uploaded proposal for 547 (Tue May 03 2005 - 10:02:51 PDT)
- [sv-cc] uploaded proposal for 489 with fixes from previous meeting (Tue May 03 2005 - 09:37:18 PDT)
- [sv-cc] uploaded new proposal for 528 (Tue May 03 2005 - 09:13:04 PDT)
- [sv-cc] errata 465 new proposal uploaded. (Tue May 03 2005 - 08:54:54 PDT)
- RE: [sv-cc] uploaded proposals for 465 and 528 (Thu Apr 28 2005 - 07:14:51 PDT)
- [sv-cc] uploaded proposals for 465 and 528 (Wed Apr 27 2005 - 20:21:55 PDT)
- RE: [sv-cc] uploaded proposals for 465 and 528 (Wed Apr 27 2005 - 20:11:57 PDT)
- [sv-cc] uploaded proposal for 489 (refobj ) (Wed Apr 27 2005 - 09:42:07 PDT)
- [sv-cc] user data (Wed Apr 20 2005 - 10:13:54 PDT)
- FW: [sv-cc] Some more thought on the bootstrapping process (Wed Apr 20 2005 - 10:03:39 PDT)
- [sv-cc] I entered mantis item 672 for %m enhancements (Fri Apr 15 2005 - 07:40:26 PDT)
- RE: [sv-cc] SV-CC agenda for 04/15/2005 (Thu Apr 14 2005 - 21:09:08 PDT)
- RE: [sv-cc] uploaded proposals for 465 and 528 (Thu Apr 14 2005 - 21:06:17 PDT)
- RE: [sv-cc] var bit ? (Thu Apr 14 2005 - 20:58:22 PDT)
- RE: [sv-cc] vpiParent and ref objs (Thu Apr 14 2005 - 20:52:24 PDT)
- RE: [sv-cc] uploaded proposals for 465 and 528 (Thu Apr 14 2005 - 07:07:28 PDT)
- [sv-cc] uploaded proposals for 465 and 528 (Wed Apr 13 2005 - 21:07:43 PDT)
- [sv-cc] proposal for 489 (ref obj fixes) uploaded (Wed Apr 13 2005 - 20:31:14 PDT)
- [sv-cc] var bit ? (Wed Apr 13 2005 - 10:01:51 PDT)
- [sv-cc] parameter diagram (Wed Apr 13 2005 - 10:02:43 PDT)
- RE: [sv-cc] Availablility for a meeting at the end of this week/early next week (Tue Apr 12 2005 - 10:29:11 PDT)
- [sv-cc] champions meeting and more to do (Tue Apr 12 2005 - 04:42:33 PDT)
- RE: [sv-cc] ref obj: more clarifications and issues (Tue Apr 12 2005 - 04:35:03 PDT)
- [sv-cc] errata 457 (Mon Apr 11 2005 - 13:55:04 PDT)
- RE: [sv-cc] ref obj: more clarifications and issues (Mon Apr 11 2005 - 06:44:41 PDT)
- [sv-cc] err 464 (Fri Apr 08 2005 - 18:56:56 PDT)
- [sv-cc] err 465 (Fri Apr 08 2005 - 18:44:55 PDT)
- [sv-cc] err 484 (Fri Apr 08 2005 - 18:06:25 PDT)
- [sv-cc] ref obj: more clarifications and issues (Fri Apr 08 2005 - 17:53:33 PDT)
- [sv-cc] uploaded proposal for errata 489 (Fri Apr 08 2005 - 17:11:56 PDT)
- RE: [sv-cc] Proposal for 526: vpiValid for dynamic variables (Fri Apr 08 2005 - 07:04:50 PDT)
- [sv-cc] class defn, class var diagrams etc... (Thu Apr 07 2005 - 22:31:57 PDT)
- RE: [Fwd: RE: [sv-cc] SV-CC meetings] (Tue Mar 29 2005 - 06:20:52 PST)
- RE: [sv-cc] Need your schedule to plan upcoming meetings (Wed Mar 23 2005 - 11:22:15 PST)
- RE: [sv-cc] Re: [P1800] Questions on our activities during the ballot period. (Wed Feb 23 2005 - 11:43:57 PST)
- [sv-cc] errata PTF 524 (Wed Feb 23 2005 - 09:55:44 PST)
- [sv-cc] errata 373 (Wed Feb 16 2005 - 09:12:23 PST)
- RE: [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Stuart Sutherland" <stuart@sutherland.com>]] (Fri Feb 11 2005 - 08:13:12 PST)
- RE: [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Stuart Sutherland" <stuart@sutherland.com>]] (Fri Feb 11 2005 - 08:03:15 PST)
- [sv-cc] err 373 (Thu Feb 10 2005 - 13:31:52 PST)
- [sv-cc] err373-3.pdf and err373-3.fm uploaded (Thu Feb 10 2005 - 13:31:20 PST)
- RE: [sv-cc] errata 373 (Thu Feb 10 2005 - 12:35:13 PST)
- [sv-cc] uploaded a new version for errata 373 (Thu Feb 10 2005 - 09:06:05 PST)
- RE: [sv-cc] errata 373 (Thu Feb 10 2005 - 09:01:44 PST)
- [sv-cc] errata 373 (Thu Feb 10 2005 - 07:49:22 PST)
- [sv-cc] proposal for errata 373 has been uploaded (Wed Feb 09 2005 - 19:46:16 PST)
- RE: [sv-cc] concatenations and structure/array constructors (Wed Feb 09 2005 - 08:56:06 PST)
- [sv-cc] concatenations and structure/array constructors (Thu Feb 03 2005 - 07:12:42 PST)
- [sv-cc] errata 80 (Fri Jan 21 2005 - 14:41:16 PST)
- RE: [sv-cc] 62, 63, and 301: On 31.10 Variables (Fri Jan 21 2005 - 14:31:05 PST)
- RE: [sv-cc] 62, 63, and 301: On 31.10 Variables (Fri Jan 21 2005 - 12:09:55 PST)
- RE: [sv-cc] Need clarification on erratum 62 (Tue Jan 11 2005 - 15:03:07 PST)
- RE: [sv-cc] Need clarification on erratum 62 (Tue Jan 11 2005 - 09:49:14 PST)
- RE: [sv-cc] Re: questions on SV-CC 313/PTF 296 (Tue Jan 11 2005 - 09:17:16 PST)
- [sv-cc] RE: [sv-champions] Champions meeting 1/4/05 (Mon Jan 03 2005 - 10:03:10 PST)
- RE: [sv-cc] item 50 again (Tue Dec 21 2004 - 14:13:02 PST)
- [sv-cc] item 50 again (Tue Dec 21 2004 - 10:26:19 PST)
- RE: [sv-cc] Let's try to do an email vote. (Mon Dec 20 2004 - 18:23:38 PST)
- RE: [sv-cc] updloaded draft d2 of errata 77 (Mon Dec 20 2004 - 17:06:45 PST)
- [sv-cc] updloaded draft d2 of errata 77 (Mon Dec 20 2004 - 13:19:19 PST)
- [sv-cc] uploaded proposal for errata # 77 (Mon Dec 20 2004 - 12:50:47 PST)
- [sv-cc] Uploaded proposal for errata # 62 (Mon Dec 20 2004 - 10:57:45 PST)
- RE: [sv-cc] Need to meet today or early tomorrow. (Mon Dec 20 2004 - 08:54:52 PST)
- RE: [sv-cc] RE: [P1800] Champions Spreadsheet for the 12/21/04 Meeting (Fri Dec 17 2004 - 19:41:53 PST)
- RE: [sv-cc] RE: [P1800] Champions Spreadsheet for the 12/21/04 Meeting (Fri Dec 17 2004 - 19:36:03 PST)
- RE: [sv-cc] Final version of sv-cc #50 is uploaded (Fri Dec 17 2004 - 07:02:24 PST)
- RE: [sv-cc] Issues from the SVDB (Thu Dec 16 2004 - 17:08:03 PST)
- [sv-cc] Meeting minutes 12/16/04 (Thu Dec 16 2004 - 12:34:31 PST)
- [sv-cc] errata 56 (Thu Dec 16 2004 - 12:25:20 PST)
- [sv-cc] meeting is on. (Thu Dec 16 2004 - 09:07:18 PST)
- [sv-cc] Meeting today at 12'oclock east coast time (Thu Dec 16 2004 - 08:32:47 PST)
- FW: [sv-cc] Meeting Minutes 12/15/2004 with revised attendance (Thu Dec 16 2004 - 08:17:25 PST)
- [sv-cc] Can we meet at 12 o'clock east coast time today? (Thu Dec 16 2004 - 08:11:10 PST)
- [sv-cc] revised proposal for errata 56 (Thu Dec 16 2004 - 07:41:20 PST)
- [sv-cc] potential time for meeting today: 12 o'clock (United states east coast time) (Thu Dec 16 2004 - 07:28:59 PST)
- RE: [sv-cc] P1800 WG outcome (Thu Dec 16 2004 - 07:18:28 PST)
- RE: [sv-cc] P1800 WG outcome (Thu Dec 16 2004 - 06:52:18 PST)
- RE: [sv-cc] P1800 WG outcome (Thu Dec 16 2004 - 06:50:11 PST)
- RE: [sv-cc] Meeting Minutes 12/15/2004 (Wed Dec 15 2004 - 14:57:01 PST)
- [sv-cc] P1800 WG outcome (Wed Dec 15 2004 - 14:06:38 PST)
- [sv-cc] Meeting Minutes 12/15/2004 (Wed Dec 15 2004 - 13:40:00 PST)
- [sv-cc] FW: datatypes on net question (Wed Dec 08 2004 - 10:06:13 PST)
- [sv-cc] errata 64 (Tue Dec 07 2004 - 12:28:20 PST)
- [sv-cc] errata 40 (Tue Nov 30 2004 - 20:30:34 PST)
- RE: [sv-cc] Revised 265 uploaded (Tue Nov 30 2004 - 20:25:37 PST)
- [sv-cc] uploaded proposal for erratum 267 (vpiQualifier) (Tue Nov 30 2004 - 14:01:19 PST)
- RE: [sv-cc] [Fwd: Rev 3 of Data Types on Nets Proposal] (Mon Nov 22 2004 - 07:45:45 PST)
- [sv-cc] RE: P1800 issues ready for Champions review (Thu Nov 18 2004 - 07:48:49 PST)
- [sv-cc] RE: P1800 issues ready for Champions review (Thu Nov 18 2004 - 07:43:57 PST)
- [sv-cc] RE: P1800 issues ready for Champions review (Thu Nov 18 2004 - 07:39:39 PST)
- [sv-cc] CC related changes to the proposal for data types on nets. (Wed Nov 17 2004 - 13:25:12 PST)
- RE: [sv-cc] SV-CC Meeting minutes for 11/03/2004 (Wed Nov 17 2004 - 06:39:11 PST)
- [sv-cc] very simple proposals for vote: 299, 300 (Tue Nov 16 2004 - 18:06:44 PST)
- [sv-cc] discuss cc errata 267 at the next cc meeting (Tue Nov 16 2004 - 17:18:28 PST)
- [sv-cc] errata 279 is duplicate of 278 (Wed Nov 03 2004 - 17:04:03 PST)
- [sv-cc] Submitted errata 278 for example 3 in section E.9.4 (Thu Oct 28 2004 - 07:43:58 PDT)
- [sv-cc] Urgent: Need clarification on example 3 of section E.9.4 (Thu Oct 28 2004 - 07:39:47 PDT)
- [sv-cc] FW: use of rational rose for the 1364 and 1800 VPI information model (Wed Oct 20 2004 - 17:54:40 PDT)
- [sv-cc] uploaded new proposal for erratum 80. (Wed Oct 13 2004 - 10:08:54 PDT)
- [sv-cc] uploaded formal proposal for errata 80 (Wed Oct 06 2004 - 13:29:23 PDT)
- [sv-cc] I updated errata 80 (Tue Sep 21 2004 - 13:03:25 PDT)
- [sv-cc] question about mapping of types in DPI (Mon Sep 20 2004 - 10:47:59 PDT)
- Fwd: [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 08/11/2004 (Wed Sep 15 2004 - 08:52:01 PDT)
- [sv-cc] Updated errata 80 (Mon Aug 16 2004 - 11:51:41 PDT)
- Re: [sv-cc] Meeting tomorrow - agenda (Wed Aug 11 2004 - 09:02:34 PDT)
- [sv-cc] Re: [sv-ec] RE: SystemVerilog Errata Meeting on August 6. (Fri Aug 06 2004 - 12:41:37 PDT)
- Re: [sv-cc] Errata? Handling DPI parameters of type 'reg' (Thu Aug 05 2004 - 08:35:02 PDT)
- Re: [sv-cc] Errata? Handling DPI parameters of type 'reg' (Wed Aug 04 2004 - 09:10:18 PDT)
- Re: [sv-cc] Please mention your name while filing a bug (Tue Jul 27 2004 - 08:12:28 PDT)
- [sv-cc] Re: [sv-ec] SystemVerilog Errata Meeting on August 6. (Fri Jul 23 2004 - 08:37:48 PDT)
- Re: [sv-cc] Updated errata spreadsheet (7/8/2004) (Wed Jul 14 2004 - 09:13:02 PDT)
- Re: [sv-cc] Errata for SV3.1A (Imported Task Return Type) (Tue Jul 06 2004 - 11:45:53 PDT)
- RE: [sv-cc] vpi_create (Wed Jun 02 2004 - 09:01:13 PDT)
- RE: [sv-cc] vpi_create (Wed May 26 2004 - 14:56:09 PDT)
- [sv-cc] vpi_create (Tue May 25 2004 - 12:51:59 PDT)
- [sv-cc] Errata: vpiFuncType (Thu May 06 2004 - 11:51:45 PDT)
- RE: [sv-cc] Meeting reminder - 04/21/2004 (Wed Apr 21 2004 - 09:42:00 PDT)
- Re: [sv-cc] Meeting reminder - 04/21/2004 (Wed Apr 21 2004 - 09:12:04 PDT)
- [sv-cc] New erratas on Vpi (Fri Apr 16 2004 - 13:18:47 PDT)
- [sv-cc] Corrections to my previous errata + new errata (Fri Apr 16 2004 - 11:15:45 PDT)
- RE: [sv-cc] Question on LRM E.6.7 (Thu Apr 15 2004 - 17:22:02 PDT)
- [sv-cc] RE: [sv-bc] our next tele-call meeting (Tue Apr 13 2004 - 13:05:02 PDT)
- [sv-cc] Other errata for VPI (Thu Mar 25 2004 - 14:25:49 PST)
- Re: [sv-cc] Ballot for Final Approval Vote (Mon Mar 15 2004 - 09:54:32 PST)
- [sv-cc] VPI model interface issues (Fri Mar 05 2004 - 15:37:04 PST)
- [sv-cc] VPI issues (Tue Feb 24 2004 - 11:36:38 PST)
- Re: [sv-cc] No meeting tomorrow - please read (Wed Feb 04 2004 - 07:38:22 PST)
- [sv-cc] Re: [sv-bc] VPI model issues on interfaces (Wed Feb 04 2004 - 07:19:36 PST)
- RE: [sv-cc] partitioning of the review (Fri Jan 30 2004 - 06:14:35 PST)
- [sv-cc] partitioning of the review (Wed Jan 28 2004 - 10:23:46 PST)
- [sv-cc] RE: READ API with comments (Wed Jan 28 2004 - 08:56:50 PST)
- Re: [sv-cc] Next meeting (Thu Jan 22 2004 - 08:30:31 PST)
- [sv-cc] more assertion issues (Thu Jan 22 2004 - 08:30:10 PST)
- Re: [sv-cc] Meeting reminder - 01/21/04 (Thu Jan 22 2004 - 08:04:03 PST)
- Re: [sv-cc] vpi_diagrams-1-21-2004.pdf, 2nd try (Thu Jan 22 2004 - 07:55:44 PST)
- [sv-cc] VPI vote (Wed Jan 21 2004 - 20:19:23 PST)
- RE: [sv-cc] assertion erratas: 2 minor issues (Wed Jan 21 2004 - 20:00:25 PST)
- [sv-cc] assertion erratas: 2 minor issues (Wed Jan 21 2004 - 07:53:08 PST)
- RE: [sv-cc] RE: a few comments/issues import, packages. (Tue Jan 20 2004 - 11:56:37 PST)
- [sv-cc] issues on assertions/properties (Tue Jan 20 2004 - 09:02:34 PST)
- [sv-cc] RE: a few comments/issues import, packages. (Tue Jan 20 2004 - 08:52:19 PST)
- [sv-cc] a few comments/issues import, packages. (Tue Jan 20 2004 - 07:59:49 PST)
- Re: [sv-cc] Special Meeting reminder - 01/20/04 (Tue Jan 20 2004 - 07:25:01 PST)
- RE: [sv-cc] Fwd: READ api issues (Tue Jan 13 2004 - 13:02:22 PST)
- Re: [sv-cc] FW: Comments on VPI Extensions to SystemVerilog, December 19,2003 version (Tue Jan 13 2004 - 07:38:18 PST)
- [sv-cc] Vote on the READ api (Fri Jan 09 2004 - 21:26:01 PST)
- RE: [sv-cc] Fwd: READ api issues (Fri Jan 09 2004 - 21:17:45 PST)
- [sv-cc] Fwd: READ api issues (Fri Jan 09 2004 - 08:08:39 PST)
- [sv-cc] FYI: VPI and READ API (Wed Jan 07 2004 - 14:54:20 PST)
- Re: [sv-cc] Reminder to review the VPI ext and the Reader donations (Mon Jan 05 2004 - 09:43:39 PST)
- Re: [sv-cc] Reminder to review the VPI ext and the Reader donations (Mon Jan 05 2004 - 09:40:55 PST)
- RE: [sv-cc] READ API: a couple of thoughts, a new issue and a question (Fri Dec 19 2003 - 07:15:07 PST)
- RE: [sv-cc] READ API: a couple of thoughts, a new issue and a question (Fri Dec 19 2003 - 07:10:02 PST)
- [sv-cc] READ API: a couple of thoughts, a new issue and a question (Thu Dec 18 2003 - 13:01:31 PST)
- Re: [sv-cc] Some more thought on the bootstrapping process (Thu Dec 18 2003 - 10:13:43 PST)
- Re: [sv-cc] RE: Feedback on Read API (VPI enhancement) (Thu Dec 18 2003 - 09:44:45 PST)
- RE: [sv-cc] RE: Feedback on Read API (VPI enhancement) (Wed Dec 17 2003 - 08:47:17 PST)
- Re: [sv-cc] RE: Feedback on Read API (VPI enhancement) (Wed Dec 17 2003 - 07:00:59 PST)
- [sv-cc] Assertion errata (Wed Dec 10 2003 - 09:54:42 PST)
- [sv-cc] Meeting minutes 11/26/03 (Wed Nov 26 2003 - 10:04:12 PST)
- [sv-cc] votes on 3.1A donations (Wed Nov 19 2003 - 14:10:36 PST)
- [sv-cc] collections in VHPI (Wed Nov 12 2003 - 14:37:43 PST)
- [sv-cc] CC meeting minutes (Wed Nov 12 2003 - 14:09:27 PST)
- [sv-cc] Calling Verilog tasks from C and disable behaviour (Wed Oct 29 2003 - 06:54:55 PST)
- RE: [sv-cc] Disables and DPI interaction (Wed Oct 22 2003 - 09:59:47 PDT)
- RE: [sv-cc] Vote/Poll/Review announcement (Fri Oct 17 2003 - 16:21:38 PDT)
- Re: [sv-cc] No meeting tomorrow (09/03) and some comments (Wed Sep 17 2003 - 09:02:21 PDT)
- Re: [sv-cc] Poll on errata for open arrays (Wed Sep 03 2003 - 08:50:57 PDT)
- Re: [sv-cc] No meeting tomorrow (09/03) and some comments (Wed Sep 03 2003 - 07:02:58 PDT)
- Re: [sv-cc] Vote and polls on 3 issues (Wed Aug 06 2003 - 08:58:01 PDT)
- [sv-cc] Fwd: Re: [sv-bc] System-Verilog 3.1 import function DPI (Mon Aug 04 2003 - 13:55:35 PDT)
- [sv-cc] Action item on the Import DPI derivation rule (Wed Jul 23 2003 - 08:45:44 PDT)
- [sv-cc] Meeting minutes, July 16, 2003 (Wed Jul 16 2003 - 09:32:42 PDT)
- [sv-cc] Fwd: [sv-bc] Cadence Negative Ballot Comment on SystemVerilog 3.1 (Thu Apr 24 2003 - 12:40:05 PDT)
- [sv-cc] Vote on the 3.1 systemVerilog LRM (Thu Apr 24 2003 - 11:20:11 PDT)
- [sv-cc] a cross reference issue in draft 5 (Wed Apr 23 2003 - 08:22:47 PDT)
- [sv-cc] collection of last comment reviews, issues and questions (Thu Apr 17 2003 - 09:00:45 PDT)
- [sv-cc] Date: Thu, 17 Apr 2003 11:59:12 -0400 (Thu Apr 17 2003 - 08:59:15 PDT)
- [sv-cc] Date: Thu, 17 Apr 2003 11:27:56 -0400 (Thu Apr 17 2003 - 08:28:26 PDT)
- [sv-cc] more assertion issues (Thu Apr 17 2003 - 07:38:23 PDT)
- [sv-cc] Assertion API issues (Thu Apr 17 2003 - 07:24:03 PDT)
- [sv-cc] assertion API issues (Thu Apr 17 2003 - 07:21:28 PDT)
- [sv-cc] assertion API (Wed Apr 16 2003 - 14:01:20 PDT)
- Re: [sv-cc] SV-CC LRM - array of strings (Wed Apr 16 2003 - 12:59:41 PDT)
- [sv-cc] open or dynamic arrays (Tue Apr 15 2003 - 10:29:12 PDT)
- RE: [sv-cc] RE: pragmas action item (Fri Apr 11 2003 - 08:44:26 PDT)
- [sv-cc] RE: pragmas action item (Fri Apr 11 2003 - 07:10:02 PDT)
- [sv-cc] RE: pragmas action item (Thu Apr 10 2003 - 12:19:05 PDT)
- [sv-cc] assertion review comments (second part) (Thu Apr 10 2003 - 11:11:54 PDT)
- [sv-cc] Fwd: RE: Assertion review comments up until 17.8 (included) (Thu Apr 10 2003 - 10:13:33 PDT)
- [sv-cc] RE: [sv-ec] Issues with Draft 4 LRM (Thu Apr 10 2003 - 06:44:40 PDT)
- [sv-cc] Re: [sv-ec] Issues with Draft 4 LRM (Mon Apr 07 2003 - 10:25:07 PDT)
- [sv-cc] Meeting minutes 4/2/03 (Thu Apr 03 2003 - 09:41:18 PST)
- RE: [sv-cc] SV-CC LRM Version 0.8 (Tue Apr 01 2003 - 10:15:13 PST)
- Re: [sv-cc] DPI - supported data types (Tue Apr 01 2003 - 10:13:27 PST)
- Re: [sv-cc] SV-CC LRM Version 0.8 (Mon Mar 31 2003 - 12:26:56 PST)
- RE: [sv-cc] Re-proposed user data API (Thu Mar 27 2003 - 08:24:47 PST)
- Re: [sv-cc] open issue: exported function = virtual function? (Thu Mar 27 2003 - 07:50:33 PST)
- Re: [sv-cc] Re-proposed user data API (Wed Mar 26 2003 - 12:50:33 PST)
- [sv-cc] Date: Mon, 24 Mar 2003 13:01:02 -0500 (Mon Mar 24 2003 - 10:01:54 PST)
- Re: [sv-cc] Modified Get/Put User Data Proposal - function vs. mo dule scope (Fri Mar 21 2003 - 13:06:08 PST)
- [sv-cc] cc meeting minutes 3/18 (Tue Mar 18 2003 - 09:48:15 PST)
- [sv-cc] FYI: extern (Tue Mar 18 2003 - 07:26:51 PST)
- Re: [sv-cc] Updated LRM (Tue Mar 18 2003 - 06:31:18 PST)
- [sv-cc] BC extern function declarations (Mon Mar 17 2003 - 11:16:37 PST)
- Re: [sv-cc] FW: [sv-bc] External Functions and Tasks proposal (Mon Mar 17 2003 - 07:18:46 PST)
- RE: [sv-cc] RE: Version 2 of DPI LRM (Mon Mar 17 2003 - 07:02:49 PST)
- Re: [sv-cc] DPI context - setting/preserving&resetting a scope (Mon Mar 17 2003 - 06:13:26 PST)
- RE: [sv-cc] DPI context - setting/preserving&resetting a scope (Mon Mar 17 2003 - 06:12:59 PST)
- Re: [sv-cc] Updated externexport proposal (version N+2) (Fri Mar 14 2003 - 14:56:19 PST)
- [sv-cc] the phone number for the conference call does not work (Tue Mar 11 2003 - 09:09:25 PST)
- [sv-cc] draft LRM (Tue Mar 11 2003 - 07:22:22 PST)
- RE: [sv-cc] Polls on extern/export and representation of SV data types (Fri Mar 07 2003 - 15:26:20 PST)
- Re: [sv-cc] Updated extern/export proposal (Fri Mar 07 2003 - 15:19:24 PST)
- Re: [sv-cc] more about import/export (Fri Mar 07 2003 - 08:48:19 PST)
- Re: [sv-cc] more about import/export (Fri Mar 07 2003 - 08:51:57 PST)
- [sv-cc] extern uses for declaring tasks and functions (Thu Mar 06 2003 - 12:57:35 PST)
- RE: [sv-cc] more about import/export (Thu Mar 06 2003 - 08:56:46 PST)
- [sv-cc] more about import/export (Wed Mar 05 2003 - 15:18:48 PST)
- [sv-cc] extern/export function declarations (Wed Mar 05 2003 - 06:43:30 PST)
- Re: [sv-cc] meeting minutes 3/4 (Wed Mar 05 2003 - 06:11:27 PST)
- [sv-cc] meeting minutes 3/4 (Tue Mar 04 2003 - 10:01:16 PST)
- Re: [sv-cc] Draft proposal for DPI import/export syntax (Tue Mar 04 2003 - 05:30:43 PST)
- Re: [sv-cc] Comments on Michael's documents (Mon Mar 03 2003 - 12:18:43 PST)
- [sv-cc] Comments on Michael's documents (Fri Feb 28 2003 - 13:59:07 PST)
- Re: [sv-cc] Informal poll on constant naming convention (Fri Feb 28 2003 - 12:12:59 PST)
- Re: [sv-cc] DirectC: supporting references in DirectC (Fri Feb 28 2003 - 12:11:23 PST)
- Re: [sv-cc] My vote on issue 1.9 (Thu Feb 27 2003 - 13:18:00 PST)
- Re: [sv-cc] My vote on issue 1.9 (Thu Feb 27 2003 - 08:41:50 PST)
- [sv-cc] Re: [sv-ec] tasks and function default values (Wed Feb 26 2003 - 09:45:40 PST)
- Re: [sv-cc] My vote on issue 1.9 (Wed Feb 26 2003 - 09:22:13 PST)
- [sv-cc] RE: [sv-bc] function external declarations and prototypes (Wed Feb 26 2003 - 09:14:28 PST)
- [sv-cc] Re: [sv-bc] SystemVerilog 3.1 Scheduling Semantics (Tue Feb 25 2003 - 13:17:14 PST)
- Re: [sv-cc] compiling and linking source code (Mon Feb 24 2003 - 13:29:21 PST)
- [sv-cc] default values limitations for function/task arguments (Mon Feb 24 2003 - 08:43:42 PST)
- [sv-cc] compiling and linking source code (Fri Feb 21 2003 - 14:32:37 PST)
- RE: [sv-cc] Poll on Issue 1.9 (Michael's Inclusion proposal) (Thu Feb 20 2003 - 07:59:48 PST)
- Re: [sv-cc] Update on inclusion doc (Tue Feb 18 2003 - 08:46:29 PST)
- Re: [sv-cc] another directC question - var, immediate propagation (Thu Feb 13 2003 - 12:39:13 PST)
- Re: [sv-cc] another directC question - defaults for args, passing by name (Thu Feb 13 2003 - 10:05:56 PST)
- Re: [sv-cc] another directC question - defaults for args, passing by name (Wed Feb 12 2003 - 12:30:30 PST)
- Re: [sv-cc] another directC question - optional formal arg names (Wed Feb 12 2003 - 12:11:41 PST)
- Re: [sv-cc] another directC question - defaults for args, passing by name (Wed Feb 12 2003 - 08:53:52 PST)
- [sv-cc] another directC question (Tue Feb 11 2003 - 14:49:35 PST)
- [sv-cc] Meeting minutes 2/11/03 (Tue Feb 11 2003 - 10:02:56 PST)
- Re: [sv-cc] Polls on Coverage VPI and String datatype (Fri Feb 07 2003 - 15:32:02 PST)
- Re: [sv-cc] Coverage VPI extensions (v0.3) (Fri Feb 07 2003 - 15:31:29 PST)
- [sv-cc] Re: Calling SV from C (Wed Feb 05 2003 - 15:20:42 PST)
- [sv-cc] Calling SV from C (Wed Feb 05 2003 - 08:54:03 PST)
- Re: [sv-cc] Another directC C mapping question: unused bits, masking (Mon Feb 03 2003 - 14:13:43 PST)
- Re: [sv-cc] DirectC layer: C array indices mapping for packed arrays (Mon Feb 03 2003 - 14:12:49 PST)
- Re: [sv-cc] DirectC layer: C array indices mapping question (Mon Feb 03 2003 - 05:58:12 PST)
- RE: [sv-cc] Another directC C mapping question (Mon Feb 03 2003 - 05:17:26 PST)
- [sv-cc] Another directC C mapping question (Thu Jan 30 2003 - 15:11:57 PST)
- [sv-cc] DirectC layer: C array indices mapping question (Thu Jan 30 2003 - 14:35:48 PST)
- [sv-cc] integrating directC code (Tue Jan 28 2003 - 13:25:45 PST)
- [sv-cc] Re: SV_CC meeting minutes for 15 Jan, 2003: My action items (Tue Jan 28 2003 - 08:21:52 PST)
- Re: [sv-cc] Updated proposal for C/C++ file inclusion (Mon Jan 27 2003 - 15:31:27 PST)
- [sv-cc] RE: Assertions API v0.3 (Mon Jan 27 2003 - 14:13:24 PST)
- [sv-cc] issue 1.7 (Mon Jan 27 2003 - 14:09:17 PST)
- vote on the directC api (Mon Jan 20 2003 - 11:01:32 PST)
- vote on the direct C api (Mon Jan 20 2003 - 08:44:47 PST)
- VOTE on the assertion API (Wed Jan 15 2003 - 19:30:04 PST)
- RE: Assertions API v0.3 (Tue Jan 14 2003 - 15:46:50 PST)
- RE: Assertions API v0.3 (Tue Jan 14 2003 - 15:32:00 PST)
- Re: Assertions API v0.3 (Tue Jan 14 2003 - 08:31:34 PST)
- Re: DirectC C-Layer: open arrays and abstract access - revised (Fri Jan 10 2003 - 13:52:18 PST)
- Re: DirectC C-Layer: open arrays and abstract access - revised (Thu Jan 09 2003 - 16:03:28 PST)
- Re:Vote on Kevin's proposal (Fri Dec 20 2002 - 15:26:51 PST)
- Assertion API comments (Wed Dec 18 2002 - 15:47:23 PST)
- RE: DirectC C-Layer: open arrays and abstract access (Tue Dec 17 2002 - 14:59:14 PST)
- Re: DirectC C-Layer: open arrays and abstract access (Tue Dec 17 2002 - 13:48:39 PST)
- RE: DirectC: C layer (Tue Dec 17 2002 - 11:53:23 PST)
- RE: DirectC: C layer (Tue Dec 17 2002 - 08:08:59 PST)
- RE: DirectC: C layer (Tue Dec 17 2002 - 08:05:33 PST)
- next face to face date confirmation (Thu Dec 12 2002 - 09:42:50 PST)
- Re: DirectC: C layer - abstract vs direct (Wed Dec 11 2002 - 15:32:02 PST)
- Re: DirectC: C layer (Wed Dec 11 2002 - 15:29:19 PST)
- Re: DirectC: C layer (Wed Dec 11 2002 - 08:38:54 PST)
- Meeting minutes from November 26 (Mon Dec 02 2002 - 11:19:41 PST)
- vote on the 17 items (Fri Nov 22 2002 - 20:44:07 PST)
- meeting minutes (Wed Nov 20 2002 - 06:57:15 PST)
- Looking ahead (Wed Nov 13 2002 - 08:31:47 PST)
- upcoming face to face meetings this year and next year (Mon Nov 11 2002 - 12:14:38 PST)
- Re: Minutes of the 10/28/02 SV-BC Meeting (Mon Nov 11 2002 - 08:33:08 PST)
- Re: Agenda & Andrzej Proposal Slides (Thu Nov 07 2002 - 09:22:05 PST)
- Re: FW: Face-to-Face Meeting - Nov. 7th (Thu Nov 07 2002 - 09:19:26 PST)
- Re: Agenda for the face-to-face meeting (Thu Nov 07 2002 - 09:16:25 PST)
- Re: issue 1.4: No clear relationship to other APIs (Thu Nov 07 2002 - 07:47:30 PST)
- DirectC proposal: Issues to discuss at today meeting (Thu Nov 07 2002 - 07:21:05 PST)
- Issue 1.5: DirectC:Should use a common and unique prefix for all (Tue Nov 05 2002 - 07:48:24 PST)
- issue 1.4: No clear relationship to other APIs (Tue Nov 05 2002 - 06:59:09 PST)
- Re: Agenda for the face-to-face meeting (Tue Nov 05 2002 - 06:36:48 PST)
- Thursday meeting (Tue Nov 05 2002 - 06:16:35 PST)
- Re: Corrected SV-CC Meeting Minutes for 10-22-02 (Wed Oct 30 2002 - 07:54:16 PST)
- vote on the coverage API (Fri Oct 25 2002 - 11:58:00 PDT)
- RE: ISSUE:DirectC:DirectC i/f should support mechanismforcalling Verilog task/function from a DirectC application (Wed Oct 23 2002 - 18:03:49 PDT)
- RE: VPI requirements for System Verilog (Wed Oct 09 2002 - 11:10:54 PDT)
- Is there a meeting today? (Tue Oct 08 2002 - 09:07:25 PDT)
- Re: Vote on the assertion API (Tue Oct 08 2002 - 06:01:15 PDT)
- Vote on the assertion API (Mon Oct 07 2002 - 15:23:50 PDT)
- My vote for the directC donation (Fri Sep 27 2002 - 15:07:04 PDT)
- VPI requirements for System Verilog (Tue Sep 10 2002 - 16:13:22 PDT)
- clarification on my action item (Wed Aug 21 2002 - 11:26:27 PDT)
- Geoffrey.Coram
- Ghassan Khoory
- Gordon Vreugdenhil
- Gran, Alex
- Havlicek John-R8AAAU
- Heath Chambers
- Jason Campbell
- Jay Lawrence
- jgeada_at_.....
- Jim Garnett
- Jim Vellenga
- RE: [sv-cc] Object of class of objects as a property (Thu Jan 16 2014 - 06:46:26 PST)
- RE: [sv-cc] vpiVirtualInterfaceVar and vpiClassVar as vpiRefObj (Tue Dec 17 2013 - 05:25:30 PST)
- RE: [sv-cc] vpiVirtualInterfaceVar and vpiClassVar as vpiRefObj (Tue Dec 17 2013 - 05:22:40 PST)
- RE: [sv-cc] 37.25 Named events - editors bug (Fri Oct 11 2013 - 08:26:42 PDT)
- RE: [sv-cc] Name of t/f vpiRefObj (Fri Oct 11 2013 - 08:13:06 PDT)
- RE: [sv-cc] Lack of vpiInterfaceTypespec in sv_vpi_user.h (Fri Oct 11 2013 - 07:59:24 PDT)
- [sv-cc] RE: [sv-ec] SystemVerilog 3.1a and 1800-2005 remains in 1800-2012 (Fri Oct 11 2013 - 06:14:18 PDT)
- RE: [sv-cc] Callbacks on virtual interface var - proposal (Tue Sep 03 2013 - 05:46:42 PDT)
- RE: [sv-cc] Concatenation on vpiIODecl - vpiExpr relation (Tue Aug 27 2013 - 06:55:48 PDT)
- RE: [sv-cc] Array of net on module port (Wed Jun 05 2013 - 08:08:53 PDT)
- RE: [sv-cc] vpiTypeSpec or vpiTypespec typo (Wed Dec 19 2012 - 05:33:51 PST)
- RE: [sv-cc] vpiInstance 1 to 1 relation from Class variable (Tue Sep 25 2012 - 06:10:03 PDT)
- RE: [sv-cc] vpiInstance 1 to 1 relation from Class variable (Tue Sep 25 2012 - 06:05:28 PDT)
- RE: [sv-cc] Bug in process object model diagram (Thu Jul 26 2012 - 09:49:43 PDT)
- RE: [sv-cc] Parameters in VPI (Thu Jul 05 2012 - 05:44:28 PDT)
- [sv-cc] Another header file uploaded (Wed Jun 27 2012 - 14:54:58 PDT)
- RE: [sv-cc] svdpi.h(.new) file for testing (Wed Jun 27 2012 - 08:30:08 PDT)
- [sv-cc] svdpi.h(.new) file for testing (Wed Jun 27 2012 - 07:01:47 PDT)
- [sv-cc] Modified proposal for 3127 (Fri Jun 15 2012 - 07:00:02 PDT)
- [sv-cc] New proposal for 3127 (Fri May 18 2012 - 07:00:44 PDT)
- [sv-cc] RE: SV-CC Agenda for May-16-2012 (Tue May 15 2012 - 08:23:18 PDT)
- RE: [sv-cc] Multidimensional dynamic array in DPI-C (Thu Apr 05 2012 - 14:07:30 PDT)
- RE: [sv-cc] Multidimensional dynamic array in DPI-C (Thu Apr 05 2012 - 13:58:20 PDT)
- RE: [sv-cc] Multidimensional dynamic array in DPI-C (Thu Apr 05 2012 - 09:37:09 PDT)
- RE: [sv-cc] Multidimensional dynamic array in DPI-C (Thu Apr 05 2012 - 08:13:02 PDT)
- [sv-cc] Replaced proposals for Mantis item 3884 (Wed Oct 26 2011 - 12:53:34 PDT)
- RE: [sv-cc] using _MSC_VER in svdpi.h (Wed Oct 26 2011 - 06:55:47 PDT)
- [sv-cc] VPI for soft constraints -- proposals (Fri Oct 21 2011 - 11:20:47 PDT)
- RE: [sv-cc] RE: Mantis 3737 (AA ranges) proposal updated (Fri Oct 14 2011 - 10:39:07 PDT)
- [sv-cc] RE: DPI-OO reference "counts" (Wed Oct 12 2011 - 05:37:57 PDT)
- [sv-cc] RE: DPI-OO comments (Wed Oct 12 2011 - 05:02:50 PDT)
- [sv-cc] So-called "asymmetry" (Tue Oct 11 2011 - 06:34:23 PDT)
- [sv-cc] DPI-OO reference "counts" (Tue Oct 11 2011 - 06:13:47 PDT)
- [sv-cc] FW: Arturo's comments -- esp. 35.5 (Wed Oct 05 2011 - 07:04:21 PDT)
- RE: [sv-cc] vpiHandle on DPI method port proposal (Wed Oct 05 2011 - 05:09:50 PDT)
- RE: [sv-cc] vpiHandle on DPI method port proposal (Tue Oct 04 2011 - 10:26:17 PDT)
- [sv-cc] RE: Mantis 3737 (AA ranges) proposal updated (Fri Sep 30 2011 - 11:03:38 PDT)
- [sv-cc] Moribund Mantis items (Wed Sep 28 2011 - 08:39:52 PDT)
- [sv-cc] What to do with Mantis items that are no longer an issue? (Wed Sep 28 2011 - 08:03:14 PDT)
- RE: [sv-cc] RE: Completed issues (Wed Sep 28 2011 - 07:53:58 PDT)
- [sv-cc] RE: Completed issues (Tue Sep 27 2011 - 10:34:22 PDT)
- [sv-cc] RE: Container class for open arrays (Mon Sep 26 2011 - 12:02:53 PDT)
- [sv-cc] Type conversion for open arrays (Mon Sep 26 2011 - 06:54:30 PDT)
- [sv-cc] openArray::size() method (Mon Sep 26 2011 - 06:40:09 PDT)
- [sv-cc] RE: Container class for open arrays (Mon Sep 26 2011 - 06:30:05 PDT)
- [sv-cc] RE: Container class for open arrays (Fri Sep 23 2011 - 08:26:31 PDT)
- [sv-cc] RE: Configuration compiler directive for DPI-OO open array arguments (Thu Sep 22 2011 - 05:17:30 PDT)
- [sv-cc] RE: Reminder: Please review DPI-OO proposals (Wed Sep 21 2011 - 10:16:16 PDT)
- [sv-cc] Mantis item and proposal -- simple editorial (Tue Sep 20 2011 - 05:18:38 PDT)
- [sv-cc] RE: Mantis #3737 and #3192 updates completed (Wed Sep 14 2011 - 13:31:39 PDT)
- [sv-cc] Using DPI-C accessor functions in DPI-OO (Thu Sep 01 2011 - 07:23:41 PDT)
- [sv-cc] Idea about getting the DPI-OO import info directly from the header files (Thu Sep 01 2011 - 07:03:47 PDT)
- [sv-cc] What DPI-OO is not (Fri Aug 12 2011 - 06:11:24 PDT)
- [sv-cc] Clarifications (Mon Aug 08 2011 - 08:53:32 PDT)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes (Thu Aug 04 2011 - 13:21:14 PDT)
- [sv-cc] Consistency concerns from yesterday's meeting (Thu Aug 04 2011 - 05:45:38 PDT)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes (Wed Aug 03 2011 - 08:54:30 PDT)
- [sv-cc] Mantis item 3599 (Wed Jun 22 2011 - 09:35:40 PDT)
- [sv-cc] RE: SV-CC meeting agenda for Jun-08-2011 (Thu Jun 09 2011 - 07:05:25 PDT)
- [sv-cc] RE: SV-CC meeting agenda for Jun-08-2011 (Wed Jun 08 2011 - 07:08:07 PDT)
- [sv-cc] RE: SV-CC meeting agenda for Jun-08-2011 (Wed Jun 08 2011 - 06:14:40 PDT)
- [sv-cc] Multivendor compatibility -- standard vs. example? (Thu May 26 2011 - 07:01:26 PDT)
- [sv-cc] RE: Overview for Mantis item 3087 (Wed May 25 2011 - 08:59:01 PDT)
- [sv-cc] Overview for Mantis item 3087 (Tue May 24 2011 - 13:26:26 PDT)
- RE: [sv-cc] Updates to the LRM need to be reviewed for accuracy (Thu Apr 28 2011 - 12:11:30 PDT)
- [sv-cc] Corrected proposal 3459 (Wed Apr 27 2011 - 09:44:11 PDT)
- Re: [sv-cc] uploaded proposal to Mantis 3522 (Wed Apr 27 2011 - 03:48:05 PDT)
- [sv-cc] RE: uploaded proposal for 3118 (Wed Apr 13 2011 - 10:34:35 PDT)
- [sv-cc] RE: Mantis 3022 (Tue Apr 05 2011 - 07:58:50 PDT)
- [sv-cc] RE: Please vote on Mantis 3118 (Thu Mar 31 2011 - 06:02:28 PDT)
- [sv-cc] Probably absent Wednesday (Mon Mar 28 2011 - 08:14:40 PDT)
- [sv-cc] New Mantis item with proposal (Thu Mar 24 2011 - 10:35:54 PDT)
- [sv-cc] Corrected file uploaded for Mantis 753 (Mon Jan 31 2011 - 05:50:17 PST)
- [sv-cc] New Mantis item and proposal (Thu Jan 20 2011 - 07:48:57 PST)
- [sv-cc] Mantis item 1649 is no longer an issue (Thu Jan 20 2011 - 06:33:02 PST)
- Re: [sv-cc] RE: [sv-champions] Email vote - Ending December 13th (Sun Jan 09 2011 - 13:06:13 PST)
- RE: [sv-cc] RE: [sv-champions] Email vote - Ending December 13th (Thu Jan 06 2011 - 08:18:12 PST)
- [sv-cc] Agenda items (Tue Nov 16 2010 - 10:23:18 PST)
- [sv-cc] RE: Can Mantis 3123 be closed as 'not a mistake'? (Wed Nov 10 2010 - 12:45:13 PST)
- [sv-cc] RE: Can Mantis 3123 be closed as 'not a mistake'? (Wed Nov 10 2010 - 12:06:23 PST)
- [sv-cc] Revised proposal for item 753 (Wed Nov 10 2010 - 11:25:43 PST)
- [sv-cc] RE: Mantis 2359 (Mon Nov 08 2010 - 05:47:33 PST)
- [sv-cc] RE: Can Mantis 3123 be closed as 'not a mistake'? (Mon Nov 08 2010 - 05:43:52 PST)
- [sv-cc] Duplicate Mantis items? (Wed Nov 03 2010 - 05:51:13 PDT)
- [sv-cc] Proposal for 3215 (Tue Nov 02 2010 - 06:53:18 PDT)
- [sv-cc] Unapproved minutes 27 Oct 2010 (Wed Oct 27 2010 - 09:55:11 PDT)
- [sv-cc] RE: Request to review 2494 (Wed Aug 25 2010 - 13:05:11 PDT)
- [sv-cc] RE: New Mantis #3115 added (Thu Aug 05 2010 - 07:36:06 PDT)
- RE: [sv-cc] DPI class/object reference passing feature (Mon Jun 14 2010 - 05:49:49 PDT)
- [sv-cc] RE: Annotated spreadsheet (Mon May 24 2010 - 10:23:36 PDT)
- [sv-cc] Annotated spreadsheet (Mon May 24 2010 - 10:20:27 PDT)
- [sv-cc] Mantis items 305 and 306 (Mon May 24 2010 - 08:54:46 PDT)
- [sv-cc] Mantis item 1652 (Mon May 24 2010 - 09:00:46 PDT)
- [sv-cc] Mantis item 744 (Mon May 24 2010 - 08:53:03 PDT)
- [sv-cc] Mantis item 1581 already done (Wed May 12 2010 - 09:59:14 PDT)
- [sv-cc] Updated spreadsheet (Wed May 12 2010 - 09:33:32 PDT)
- [sv-cc] Mantis item 1653 (Wed Apr 28 2010 - 10:32:16 PDT)
- Re: [sv-ec] RE: [sv-cc] Notes from 26 February 2010 SystemVerilog Requirements Gathering Meeting (Tue Mar 02 2010 - 07:18:13 PST)
- Re: [sv-cc] FW: [sv-ec] features for next PAR (Thu Feb 18 2010 - 08:25:45 PST)
- Re: [sv-cc] Slides for SV-CC presentation at DVCon Meeting, Draft 2 (Thu Feb 18 2010 - 08:16:10 PST)
- Re: [sv-cc] Fw: 1800-2009 Approval Notification (Wed Nov 11 2009 - 11:13:48 PST)
- Re: [sv-cc] RE: [sv-ac] P1800/D9-preliminary (Thu Jul 16 2009 - 07:42:56 PDT)
- Re: [sv-cc] Ballot comment 171 (Thu Jul 16 2009 - 07:29:12 PDT)
- Re: [sv-cc] Ballot comment 171 (Thu Jul 16 2009 - 07:20:30 PDT)
- Re: [sv-cc] SV-CC: DPI Issues (Mon Jun 15 2009 - 04:45:13 PDT)
- [sv-cc] [Fwd: [SystemVerilog P1800 0002621]: Ballot comment #155 vpiSize should return an error when applied on a vpiFunction returning string] (Mon May 18 2009 - 09:45:58 PDT)
- Re: [sv-cc] Mantis Items for Ballot comments (Tue Apr 21 2009 - 14:00:20 PDT)
- Re: [sv-cc] Mantis Items with Proposals (Tue Apr 21 2009 - 13:48:20 PDT)
- [sv-cc] Proposal for 2621 -- vpiSize of function declarations (Fri Apr 17 2009 - 13:48:56 PDT)
- [sv-cc] Reference to misctf (Wed Apr 08 2009 - 11:48:28 PDT)
- Re: [sv-cc] SV-CC Meeting agenda for Apr-08-2009 (Tue Apr 07 2009 - 12:15:17 PDT)
- Re: [sv-cc] SV-CC Meeting agenda for Apr-08-2009 (Tue Apr 07 2009 - 11:52:57 PDT)
- Re: [sv-cc] Call for email vote on Mantis Item 1775 (Sat Feb 14 2009 - 13:55:11 PST)
- Re: [sv-cc] Mantis 1775 proposal replaced (Wed Jan 28 2009 - 13:35:31 PST)
- Re: [sv-cc] Mantis 1775 proposal replaced (Wed Jan 28 2009 - 13:33:16 PST)
- [sv-cc] Mantis item + proposal for deprecating "Reader API" from sv_vpi_user.h (Wed Jan 28 2009 - 13:26:54 PST)
- [sv-cc] Mantis item + proposal for deprecating "Reader API" from sv_vpi_user.h (Wed Jan 28 2009 - 13:24:23 PST)
- Re: [sv-cc] review of 0226 implementation in draft8 (Tue Jan 27 2009 - 07:28:13 PST)
- Re: [sv-cc] vpiObj, vpiObjectVal (Thu Jan 15 2009 - 04:58:54 PST)
- Re: [sv-cc] Annex N (sv_vpi_user.h) correction summary (Tue Jan 13 2009 - 11:47:03 PST)
- [sv-cc] Updated proposal for cbSizeChange (Thu Oct 30 2008 - 08:19:09 PDT)
- [sv-cc] 2240 not a duplicate of 1946 (Thu Oct 30 2008 - 06:30:29 PDT)
- [sv-cc] Correction to clocking block diagram (Thu Oct 30 2008 - 06:14:58 PDT)
- RE: [sv-cc] VPI interface of unsized literals (Fri Oct 24 2008 - 13:32:54 PDT)
- RE: [sv-cc] VPI interface of unsized literals (Fri Oct 24 2008 - 13:12:35 PDT)
- RE: [sv-cc] SV-CC Meeting agenda for 10/22/2008 (Tue Oct 21 2008 - 11:23:16 PDT)
- RE: [sv-cc] Need to vote on Item 2226 (Wed Oct 08 2008 - 12:02:03 PDT)
- RE: [sv-cc] mantis 2226 action completed (Wed Oct 01 2008 - 07:09:53 PDT)
- RE: [sv-cc] mantis 2226 action completed (Mon Sep 29 2008 - 13:51:03 PDT)
- RE: [sv-cc] mantis 2226 action completed (Mon Sep 29 2008 - 12:40:40 PDT)
- RE: [sv-cc] mantis 2226 action completed (Mon Sep 29 2008 - 12:39:40 PDT)
- RE: [sv-cc] mantis 2226 action completed (Mon Sep 29 2008 - 12:31:29 PDT)
- RE: [sv-cc] Request for clarification (Wed Sep 17 2008 - 14:21:36 PDT)
- [sv-cc] Report from 1800 working group (Thu Aug 28 2008 - 08:34:09 PDT)
- RE: [sv-cc] vpiName (Tue Aug 26 2008 - 07:59:02 PDT)
- RE: [sv-cc] DPI version (Tue Aug 26 2008 - 05:32:01 PDT)
- RE: [sv-cc] Mantis 1434 (Tue Aug 26 2008 - 05:26:00 PDT)
- [sv-cc] Update to 742 (Wed Aug 13 2008 - 11:38:56 PDT)
- RE: [sv-cc] RE: [sv-champions] Email vote - ending August 13th (Mon Aug 11 2008 - 13:48:41 PDT)
- [sv-cc] RE: The proposal for Mantis time 1835 is a framemaker file (Wed Aug 06 2008 - 11:29:43 PDT)
- RE: [sv-cc] SV-CC Meeting Minutes for 07/30/2008 (Tue Aug 05 2008 - 05:37:35 PDT)
- [sv-cc] FrameMaker files uploaded (Wed Jul 30 2008 - 12:54:50 PDT)
- FW: [sv-cc] [Fwd: vpiAutomatics] (Tue Jul 29 2008 - 12:37:23 PDT)
- RE: [sv-cc] [Fwd: vpiAutomatics] (Tue Jul 29 2008 - 12:28:21 PDT)
- [sv-cc] New issue, new proposal (vpiVirtual for constraints) (Thu Jul 17 2008 - 11:20:07 PDT)
- RE: [sv-cc] SV-CC agenda for 07/16/2008 (Wed Jul 16 2008 - 07:52:51 PDT)
- RE: [sv-cc] Feedback/issues re. #2182 (VPI Checkers proposal) (Tue Jul 15 2008 - 08:21:12 PDT)
- RE: [sv-cc] Feedback/issues re. #2182 (VPI Checkers proposal) (Tue Jul 15 2008 - 07:36:09 PDT)
- RE: [sv-cc] Feedback/issues re. #2182 (VPI Checkers proposal) (Tue Jul 15 2008 - 07:32:56 PDT)
- RE: [sv-cc] Feedback/issues re. #2182 (VPI Checkers proposal) (Tue Jul 15 2008 - 07:30:16 PDT)
- RE: [sv-cc] Feedback/issues re. #2182 (VPI Checkers proposal) (Tue Jul 15 2008 - 06:56:35 PDT)
- RE: [sv-cc] July 2nd SV-CC meeting is cancelled (Fri Jun 27 2008 - 11:05:34 PDT)
- [sv-cc] Marking 529 as duplicate (Fri Jun 27 2008 - 10:58:57 PDT)
- [sv-cc] RE: 2226 draft 6. (Thu Jun 26 2008 - 10:11:35 PDT)
- RE: [sv-cc] Mantis items that need to be reviewed in Draft 6 (Wed Jun 25 2008 - 14:34:24 PDT)
- RE: [sv-cc] No SV-CC meeting today (Thu Jun 19 2008 - 08:15:10 PDT)
- [sv-cc] Simpler proposal for JEITA concerns (Wed Jun 04 2008 - 14:20:43 PDT)
- RE: [sv-cc] Action item completed (Wed Jun 04 2008 - 10:01:29 PDT)
- RE: [sv-cc] vpiDirection (Thu May 22 2008 - 10:49:23 PDT)
- [sv-cc] Action item completed (Wed May 21 2008 - 13:57:02 PDT)
- [sv-cc] Heads up -- VPI object model for type parameters (Wed May 14 2008 - 11:16:49 PDT)
- RE: [sv-cc] Champions feedback from April 10 conference call (Wed May 07 2008 - 11:40:56 PDT)
- [sv-cc] Update to Mantis item 2143 (Mon May 05 2008 - 11:47:53 PDT)
- RE: [sv-cc] Champions feedback from April 10 conference call (Tue Apr 22 2008 - 10:15:01 PDT)
- RE: [sv-cc] Champions feedback from April 10 conference call (Tue Apr 22 2008 - 09:02:09 PDT)
- RE: [sv-cc] SV-CC Action Item: Pls take another look at 2173, 2326, 2327 (Tue Apr 08 2008 - 08:59:24 PDT)
- RE: [sv-cc] FW: [sv-bc] Ref args (Mon Apr 07 2008 - 06:01:55 PDT)
- RE: [sv-cc] Proposal 2250 (LTL VPI) updated (Fri Apr 04 2008 - 06:38:55 PDT)
- RE: [sv-cc] SV-CC Meeting Minutes for 04/02/2008 (Thu Apr 03 2008 - 06:09:23 PDT)
- RE: [sv-cc] SV-CC Meeting Minutes for 04/02/2008 (Thu Apr 03 2008 - 05:39:38 PDT)
- [sv-cc] Synch up Mantis item 2250 with 1932 (next -> nexttime) (Wed Apr 02 2008 - 07:59:57 PDT)
- [sv-cc] Mantis items 1503 and 2237 (VPI parts) (Wed Apr 02 2008 - 07:15:10 PDT)
- RE: [sv-cc] Mantis item 985 -- fixed but abandoned (Wed Mar 26 2008 - 07:43:34 PDT)
- [sv-cc] Mantis item 985 -- fixed but abandoned (Wed Mar 26 2008 - 07:30:15 PDT)
- RE: [sv-cc] SV-CC Meeting Minutes for 03/19/2008 (Tue Mar 25 2008 - 13:47:48 PDT)
- RE: [sv-cc] SV-CC Meeting Minutes for 03/19/2008 (Tue Mar 25 2008 - 07:59:01 PDT)
- RE: [sv-cc] Re: [sv-ec] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals (Fri Mar 21 2008 - 05:27:16 PDT)
- [sv-cc] Mantis item 2226: Memory allocation schemes (Thu Mar 20 2008 - 07:56:00 PDT)
- [sv-cc] Mantis item 2226: constraints (Thu Mar 20 2008 - 07:24:23 PDT)
- [sv-cc] Mantis item 2226: Value change callback changes (Thu Mar 20 2008 - 06:27:16 PDT)
- [sv-cc] New mantis item (Wed Mar 19 2008 - 12:21:16 PDT)
- [sv-cc] Updated proposal for 2216 ... (Wed Mar 12 2008 - 11:20:06 PDT)
- [sv-cc] Handle lifetimes for one-time callbacks (Wed Mar 12 2008 - 11:05:09 PDT)
- RE: [sv-cc] Mantis 1465 (Fri Mar 07 2008 - 11:39:00 PST)
- [sv-cc] Proposed resolutions for 2-28 meeting (Fri Feb 22 2008 - 06:20:56 PST)
- [sv-cc] Proposed resolutions for 2-28 meeting (Thu Feb 21 2008 - 07:56:05 PST)
- RE: [sv-cc] SV-CC agenda for 02/13/2008 (Wed Feb 13 2008 - 07:48:14 PST)
- RE: [sv-cc] RE: [sv-ac] no VPI changes needed for 1668 (Fri Jan 25 2008 - 11:57:51 PST)
- [sv-cc] Mantis item 1503: Redoing the formal argument declarations (Fri Jan 25 2008 - 11:17:52 PST)
- [sv-cc] Item 1503: assertions and clocking blocks (Fri Jan 25 2008 - 08:35:48 PST)
- RE: [sv-cc] no VPI changes needed for 1668 (Thu Jan 24 2008 - 14:06:45 PST)
- RE: [sv-cc] SV-CC Meeting Minutes for 01/16/2008 (Thu Jan 17 2008 - 12:15:50 PST)
- RE: [sv-cc] RE: [sv-bc] ref can be used as formal argument of exported task/function? (Wed Jan 16 2008 - 08:07:13 PST)
- RE: [sv-cc] SV-CC Meeting Minutes for 01/02/2008 (Thu Jan 03 2008 - 06:56:14 PST)
- [sv-cc] RE: changes for 1503 uploaded (Tue Dec 18 2007 - 08:43:44 PST)
- [sv-cc] RE: changes for 1503 uploaded (Tue Dec 18 2007 - 08:36:56 PST)
- RE: [sv-ac] RE: [sv-cc] mantis 1503 (Mon Dec 17 2007 - 12:37:13 PST)
- RE: [sv-ac] RE: [sv-cc] mantis 1503 (Mon Dec 17 2007 - 08:51:26 PST)
- RE: [sv-ac] RE: [sv-cc] mantis 1503 (Mon Dec 17 2007 - 08:31:54 PST)
- RE: [sv-cc] mantis 1503 (Mon Dec 17 2007 - 08:08:55 PST)
- [sv-cc] Handle persistence as separate issue (Mon Dec 10 2007 - 11:24:30 PST)
- [sv-cc] Proposals: Expressions with side effects (Fri Dec 07 2007 - 11:18:37 PST)
- [sv-cc] Added proposal for Mantis item 2216 (Tue Nov 20 2007 - 13:26:31 PST)
- [sv-cc] Duplicate Mantis items for vpi_put_value() to dynamic objects (Tue Nov 20 2007 - 13:06:57 PST)
- [sv-cc] Mantis item and proposal for vpi_compare_objects() (Tue Nov 20 2007 - 13:03:22 PST)
- [sv-cc] Updated proposal for 1752 ... (Tue Nov 20 2007 - 12:45:22 PST)
- [sv-cc] Mark Item 2086 as duplicate of 1942? (Tue Nov 20 2007 - 12:35:08 PST)
- RE: [sv-cc] vpiConcatOp and Mantis 1702 (Tue Nov 20 2007 - 11:37:56 PST)
- [sv-cc] RE: [P1800] SV-CC items which already have proposals (Tue Nov 20 2007 - 07:10:21 PST)
- RE: [sv-cc] vpiConcatOp and Mantis 1702 (Mon Nov 19 2007 - 05:55:32 PST)
- [sv-cc] Value change callbacks with variable indexes -- and (not) for automatic variables (Thu Nov 08 2007 - 08:44:53 PST)
- RE: [sv-cc] RE: [SystemVerilog P1800 0001648]: Default reset for assertions (Thu Nov 08 2007 - 07:18:13 PST)
- [sv-cc] RE: [SystemVerilog P1800 0001648]: Default reset for assertions (Thu Nov 08 2007 - 07:06:12 PST)
- [sv-cc] RE: [SystemVerilog P1800 0001751]: Clarify vpiParent for part selects (Wed Nov 07 2007 - 12:10:08 PST)
- [sv-cc] Another read API Mantis item (Wed Oct 24 2007 - 07:24:41 PDT)
- [sv-cc] Why is a vpiParameter traversable? (Wed Oct 24 2007 - 06:50:45 PDT)
- RE: [sv-cc] Read API (Wed Oct 24 2007 - 06:39:26 PDT)
- [sv-cc] Topic for agenda (Mon Oct 22 2007 - 12:27:45 PDT)
- RE: [sv-cc] RE: [sv-bc] Stu's QUESTIONS and NOTES in Draft 4 (Tue Oct 16 2007 - 06:46:16 PDT)
- [sv-cc] Item for agenda (Mon Oct 15 2007 - 07:27:10 PDT)
- [sv-cc] FW: [P1800] IEEE P1800 Draft 4 Ready for Download (Wed Oct 10 2007 - 09:05:30 PDT)
- [sv-cc] Mantis item and proposal -- class specializations (Wed Oct 10 2007 - 08:13:47 PDT)
- [sv-cc] Erroneously fixed Mantis item (Mon Oct 08 2007 - 06:13:47 PDT)
- [sv-cc] New proposal for Mantis item 1952 (Empty arguments) (Wed Sep 26 2007 - 11:41:01 PDT)
- RE: [sv-ac] Re: [sv-ec] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] (Fri Sep 21 2007 - 05:27:25 PDT)
- RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] (Fri Sep 21 2007 - 05:17:42 PDT)
- RE: [sv-ec] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] (Fri Sep 21 2007 - 05:11:11 PDT)
- [sv-cc] Testing changes for 1865 (Thu Sep 13 2007 - 08:02:27 PDT)
- [sv-cc] FW: [SystemVerilog P1800 0000985]: cbSizeChange for queues too? (Wed Sep 12 2007 - 07:37:45 PDT)
- [sv-cc] FW: [SystemVerilog P1800 0001603]: Unused vpiMultiArray declaration in vpi_user.h (Wed Sep 12 2007 - 07:29:31 PDT)
- [sv-cc] FW: [SystemVerilog P1800 0001700]: vpiTimeConst and vpiNullConst have the same value (Wed Sep 12 2007 - 07:10:31 PDT)
- RE: [sv-cc] RE: [sv-bc] Need your review of Mantis item 1741 (Mon Sep 10 2007 - 06:31:25 PDT)
- RE: [sv-cc] RE: [sv-bc] Need your review of Mantis item 1741 (Tue Sep 04 2007 - 13:58:31 PDT)
- [sv-cc] Mantis item 1751 updated (Tue Sep 04 2007 - 13:49:24 PDT)
- [sv-cc] Need your review of Mantis item 1741 (Tue Sep 04 2007 - 13:24:37 PDT)
- RE: [sv-cc] SV-CC Meeting Minutes for 08/29/2007 (Tue Sep 04 2007 - 05:23:52 PDT)
- [sv-cc] Cannot update Mantis items 1741 and 1751 (Wed Aug 29 2007 - 11:15:11 PDT)
- [sv-cc] Updated proposal for Item 1970 (Wed Aug 29 2007 - 10:49:27 PDT)
- [sv-cc] Added proposal to clarify vpiRange relations (Thu Aug 09 2007 - 10:14:52 PDT)
- [sv-cc] New Mantis item for vpiIndexTypespec (Thu Aug 09 2007 - 08:31:13 PDT)
- [sv-cc] Mantis item for "null arguments" (Wed Jul 25 2007 - 08:29:12 PDT)
- [sv-cc] New Mantis item 1947 + proposal (Mon Jul 23 2007 - 10:15:10 PDT)
- [sv-cc] New Mantis item 1946 + proposals (Mon Jul 23 2007 - 08:47:04 PDT)
- [sv-cc] RE: What's up with the svdb (Mantis site) ? (Wed Jul 18 2007 - 07:23:29 PDT)
- [sv-cc] Updated proposal for 1726 (vpiConstantSelect) (Fri Jun 22 2007 - 07:27:56 PDT)
- RE: [sv-cc] Re: [sv-bc] Request from the SV-CC (Thu Jun 21 2007 - 11:31:05 PDT)
- [sv-cc] Resending discussion document for 1726: Meaning of vpiConstantSelect (Wed Jun 20 2007 - 06:08:17 PDT)
- [sv-cc] Update for vpiConstSelect (Wed Jun 20 2007 - 06:03:00 PDT)
- [sv-cc] Updated proposal (Wed Jun 06 2007 - 11:20:16 PDT)
- RE: [sv-cc] 'Scalar' term for SV function results, etc. (Tue Jun 05 2007 - 06:32:52 PDT)
- RE: [sv-cc] SV-CC agenda for 05/23/2007 (Wed May 23 2007 - 13:49:44 PDT)
- RE: [sv-cc] SV-CC agenda for 05/23/2007 (Wed May 23 2007 - 11:43:53 PDT)
- RE: [sv-cc] SV-CC agenda for 05/23/2007 (Wed May 23 2007 - 07:26:25 PDT)
- RE: [sv-cc] Meeting minutes for 04/25/2007 (Wed May 09 2007 - 13:02:05 PDT)
- [sv-cc] Substitute paragraph (Tue May 01 2007 - 11:38:50 PDT)
- [sv-cc] Mantis item 985 (Tue Apr 24 2007 - 09:47:07 PDT)
- [sv-cc] Propsoal for 1741 (Tue Apr 24 2007 - 06:52:32 PDT)
- [sv-cc] RE: Are we all thinking of the same use model for compatibility modes? (Thu Apr 12 2007 - 06:11:33 PDT)
- [sv-cc] RE: Compatibility proposal uploaded for Mantis item #1385 (Wed Apr 11 2007 - 08:41:57 PDT)
- [sv-cc] Formatting issue in 37.33 (Wed Apr 11 2007 - 07:12:31 PDT)
- [sv-cc] Decision criteria for meaning of vpiConstantSelect5 (Mantis 1726) (Wed Mar 28 2007 - 07:03:01 PDT)
- [sv-cc] Update to Mantis item 1751 (Part Select parent) (Wed Mar 14 2007 - 11:10:59 PDT)
- RE: [sv-cc] Meeting minutes for 02/20/2007 (Thu Mar 01 2007 - 05:42:14 PST)
- [sv-cc] Sample design to illustrate vpiFile and vpiLineNo problem (Thu Mar 01 2007 - 05:46:58 PST)
- [sv-cc] Mantis proposal on vpiConstantSelect (Wed Feb 28 2007 - 13:51:14 PST)
- [sv-cc] Mantis item/proposal on vpiFile and vpiLineNo (Wed Feb 28 2007 - 11:43:20 PST)
- [sv-cc] Duplicate Manits item on vpiFile and vpiLineNo of vpiClassObj (Wed Feb 28 2007 - 11:32:55 PST)
- [sv-cc] New Mantis item and proposal (vpiParent of part-select) (Wed Feb 28 2007 - 10:57:14 PST)
- RE: [sv-cc] Meeting minutes for 02/14/2007 (Tue Feb 20 2007 - 12:18:51 PST)
- RE: [sv-cc] PDF version of clean Scheduling Proposal (Wed Feb 07 2007 - 08:40:23 PST)
- [sv-cc] Meeting minutes for 01/31/2007 (Thu Feb 01 2007 - 10:00:17 PST)
- RE: [sv-cc] Minor correction to vpiParent_std.pdf proposal for Mantis #1684 (Wed Jan 31 2007 - 13:54:38 PST)
- RE: [sv-cc] Updated 1716 proposal (rand/randc) (Wed Jan 31 2007 - 06:53:23 PST)
- RE: [sv-cc] Change "P1800-2005" in Annex F and G? (Wed Jan 17 2007 - 12:46:52 PST)
- RE: [sv-cc] Proposal for DPI rand/randc handling (Wed Jan 17 2007 - 12:14:51 PST)
- RE: [sv-cc] vpiParent proposal update (Wed Jan 17 2007 - 06:46:14 PST)
- RE: [sv-cc] vpiParent proposal update (Wed Jan 17 2007 - 06:30:28 PST)
- RE: [sv-cc] Change "P1800-2005" in Annex F and G? (Tue Jan 16 2007 - 07:26:44 PST)
- RE: [sv-cc] Update to Mantis item 1684 (vpiParent proposal) (Wed Jan 10 2007 - 08:45:19 PST)
- RE: [sv-cc] Update to Mantis item 1684 (vpiParent proposal) (Wed Jan 10 2007 - 06:44:40 PST)
- [sv-cc] Proposal for 1700 has been updated ... (Wed Jan 03 2007 - 12:48:45 PST)
- [sv-cc] New issue and proposal: 1700 (Thu Dec 21 2006 - 12:55:05 PST)
- RE: [sv-cc] DPI and "rand" qualifers in general (Wed Dec 13 2006 - 12:55:44 PST)
- RE: [sv-cc] Question on "rand" qualifer on struct elements (Mon Dec 11 2006 - 07:57:54 PST)
- RE: [sv-cc] Question on "rand" qualifer on struct elements (Fri Dec 08 2006 - 05:43:50 PST)
- RE: [sv-cc] Question on "rand" qualifer on struct elements (Tue Dec 05 2006 - 08:45:54 PST)
- [sv-cc] RE: No meeting tomorrow (Wed Nov 22 2006 - 08:13:49 PST)
- RE: [sv-cc] SV-CC agenda for 10/25/2006 (Wed Oct 25 2006 - 05:51:50 PDT)
- RE: [sv-cc] SV-CC agenda for 10/11/2006 (Wed Oct 11 2006 - 05:18:24 PDT)
- [sv-cc] Mantis item 1579 replaced by 1603 (Thu Sep 21 2006 - 08:01:50 PDT)
- RE: [sv-cc] Please RSVP for face-to-face meeting (Wed Sep 13 2006 - 12:38:15 PDT)
- [sv-cc] New Mantis item 1579 (Thu Aug 31 2006 - 14:00:27 PDT)
- RE: [sv-cc] Mantis 1570: integer and time types (Wed Aug 30 2006 - 08:04:26 PDT)
- RE: [sv-cc] A possible interpretation for vpi_compare_objects (Mon Aug 14 2006 - 08:25:37 PDT)
- [sv-cc] A possible interpretation for vpi_compare_objects (Wed Aug 02 2006 - 11:21:22 PDT)
- RE: [sv-cc] SV-CC agenda for 08/02/2006 (Wed Aug 02 2006 - 10:37:58 PDT)
- RE: [sv-cc] Relating to Mantis 1385 (Wed Jul 19 2006 - 06:21:20 PDT)
- [sv-cc] New Mantis item with proposal (Mon Jul 10 2006 - 10:25:45 PDT)
- FW: [sv-cc] Mantis listings (Mon Jul 10 2006 - 08:55:43 PDT)
- [sv-cc] Mantis listings (Mon Jul 10 2006 - 08:43:47 PDT)
- RE: [sv-cc] Context items: (1456,1488 mods), C setjmp/longjmp, pure/context (Tue Jun 06 2006 - 13:01:57 PDT)
- [sv-cc] I have updated the proposals for Mantis item #1403 ... (Wed Apr 26 2006 - 10:28:47 PDT)
- [sv-cc] Proposals for Item 1403 (Wed Apr 12 2006 - 12:02:33 PDT)
- [sv-cc] New issue with proposal (Mon Apr 03 2006 - 08:42:37 PDT)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Fri Mar 31 2006 - 10:51:22 PST)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Fri Mar 31 2006 - 07:26:01 PST)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] (Wed Mar 29 2006 - 13:24:22 PST)
- RE: [sv-cc] fork join VPI access (Thu Mar 23 2006 - 08:33:18 PST)
- RE: [sv-cc] Unpacked array argument index correspondance (Fri Feb 17 2006 - 05:37:19 PST)
- [sv-cc] Mantis item 791 incomplete? (Wed Feb 15 2006 - 08:48:55 PST)
- RE: [sv-cc] SV-CC agenda for 02/15/2006 (Wed Feb 15 2006 - 08:13:33 PST)
- RE: [sv-cc] Unpacked array argument index correspondance (Wed Feb 15 2006 - 07:18:38 PST)
- RE: [sv-cc] DPI unions as parameters (Wed Feb 01 2006 - 06:46:09 PST)
- [sv-cc] New Mantis item, new proposal (Wed Jan 18 2006 - 10:30:40 PST)
- RE: [sv-cc] [Fwd: [sv-bc] Open array mixed with normal array] (Wed Jan 18 2006 - 07:51:23 PST)
- RE: [sv-cc] New version of #736 proposal uploaded (Mon Dec 12 2005 - 08:27:05 PST)
- [sv-cc] Mantis item 985 (Wed Nov 23 2005 - 13:42:37 PST)
- [sv-cc] Mantis item 45 can be considered fixed. (Mon Nov 21 2005 - 13:57:17 PST)
- [sv-cc] Another proposal (Tue Nov 08 2005 - 08:55:29 PST)
- [sv-cc] Proposal for 736 (Tue Nov 08 2005 - 08:00:04 PST)
- [sv-cc] Status of some issues (Tue Nov 08 2005 - 07:39:09 PST)
- [sv-cc] Error in VPI properties specified for DPI support (Wed Jun 15 2005 - 08:42:02 PDT)
- RE: [sv-cc] Problems found in sv_vpi_user.h file (Wed Jun 08 2005 - 10:38:41 PDT)
- RE: [sv-cc] vpiReg and vpiLogic (Tue May 31 2005 - 05:27:49 PDT)
- [sv-cc] Issue 529 now a duplicate (Fri May 27 2005 - 05:48:28 PDT)
- RE: [sv-cc] SV-CC Meeting minutes for 05/18/2005 (Wed May 18 2005 - 13:08:01 PDT)
- RE: [sv-cc] [Fwd: P1800 instructions for editor - mantis 734, 709, 711 and 712] (Wed May 18 2005 - 07:02:10 PDT)
- FW: [sv-cc] [Fwd: P1800 instructions for editor - mantis 734, 709, 711 and 712] (Wed May 18 2005 - 10:49:25 PDT)
- RE: [sv-cc] [Fwd: P1800 instructions for editor - mantis 734, 709, 711] (Tue May 17 2005 - 13:50:07 PDT)
- RE: [sv-cc] SV-CC Meeting minutes for 05/10/2005 (Wed May 11 2005 - 11:29:50 PDT)
- RE: [sv-cc] item 447 (Wed May 11 2005 - 11:02:29 PDT)
- RE: [sv-cc] Email ballot on Item 734 (Wed May 11 2005 - 09:04:23 PDT)
- RE: [sv-cc] Email ballot on Item 734 (Wed May 11 2005 - 06:57:51 PDT)
- RE: [sv-cc] Email ballot on Item 734 (Wed May 11 2005 - 06:48:51 PDT)
- [sv-cc] New Mantis item 733 -- complete with proposal (Tue May 10 2005 - 11:03:25 PDT)
- [sv-cc] RE: Typespec diagram : Section 32.17 (Tue May 10 2005 - 06:41:29 PDT)
- [sv-cc] A couple of comments on 345 (Mon May 09 2005 - 12:35:04 PDT)
- RE: [sv-cc] Item 536 and a general request (Mon May 09 2005 - 10:54:29 PDT)
- [sv-cc] Proposal uploaded for 721: randc and init vlaues for type members (Fri May 06 2005 - 11:33:30 PDT)
- [sv-cc] New proposal for 526 (Fri May 06 2005 - 08:19:33 PDT)
- RE: [sv-cc] Proposals uploaded (Fri May 06 2005 - 05:20:10 PDT)
- RE: [sv-cc] Mantis #658 (1364 Notes proposal) updated (Thu May 05 2005 - 07:24:52 PDT)
- [sv-cc] FW: Proposal 528 does not agree with 473 -- please fix! (Wed May 04 2005 - 09:45:19 PDT)
- RE: [sv-cc] errata 458 (Wed May 04 2005 - 07:19:29 PDT)
- RE: [sv-cc] uploaded proposal for 489 with fixes from previous meeting (Wed May 04 2005 - 06:53:25 PDT)
- RE: [sv-cc] errata 458 (Tue May 03 2005 - 13:27:07 PDT)
- RE: [sv-cc] uploaded proposal for 489 with fixes from previous meeting (Tue May 03 2005 - 13:02:55 PDT)
- RE: [sv-cc] errata 465 new proposal uploaded. (Tue May 03 2005 - 12:42:52 PDT)
- RE: [sv-cc] uploaded proposals for 465 and 528 (Thu Apr 28 2005 - 06:03:24 PDT)
- [sv-cc] New paired proposal for 526 (Wed Apr 27 2005 - 13:40:43 PDT)
- RE: [sv-cc] Proposal uploaded for 487 (Tue Apr 26 2005 - 12:51:57 PDT)
- RE: [sv-cc] Proposal for 526: vpiValid for dynamic variables (Mon Apr 25 2005 - 05:57:40 PDT)
- RE: [sv-cc] Proposal for 526: vpiValid for dynamic variables (Fri Apr 22 2005 - 13:52:10 PDT)
- RE: [sv-cc] Proposal for 526: vpiValid for dynamic variables (Fri Apr 22 2005 - 13:19:10 PDT)
- RE: [Fwd: RE: [sv-cc] 29 - uses the term "attempt"] (Thu Apr 21 2005 - 12:29:19 PDT)
- RE: [sv-cc] Proposal uploaded for 487 (Tue Apr 19 2005 - 10:59:07 PDT)
- RE: [sv-cc] Proposal uploaded for 487 (Tue Apr 19 2005 - 06:49:35 PDT)
- [sv-cc] Assertion "and" and "or" operators in VPI (Tue Apr 19 2005 - 06:18:44 PDT)
- RE: [sv-cc] Proposal uploaded for 487 (Mon Apr 18 2005 - 14:01:23 PDT)
- RE: [sv-cc] SV-CC Meeting minutes for 04/15/2005 (Mon Apr 18 2005 - 09:01:13 PDT)
- RE: [Fwd: Re: [sv-cc] Definition of full name in 1364] (Thu Apr 14 2005 - 14:06:12 PDT)
- RE: [sv-cc] Definition of full name in 1364 (Thu Apr 14 2005 - 13:14:07 PDT)
- RE: [sv-cc] proposal for #482 revised (Thu Apr 14 2005 - 13:02:58 PDT)
- RE: [sv-cc] uploaded proposals for 465 and 528 (Thu Apr 14 2005 - 08:21:14 PDT)
- RE: [sv-cc] uploaded proposals for 465 and 528 (Thu Apr 14 2005 - 07:50:04 PDT)
- RE: [sv-cc] uploaded proposals for 465 and 528 (Thu Apr 14 2005 - 07:05:53 PDT)
- [sv-cc] Comments on: Proposal uploaded for handling IP Encryption (Thu Apr 14 2005 - 06:53:29 PDT)
- [sv-cc] Issue 352 has a proposal (Wed Apr 13 2005 - 10:46:29 PDT)
- [sv-cc] Uploaded a proposal for Issue 534. (Wed Apr 13 2005 - 10:37:51 PDT)
- [sv-cc] Updated proposal for 610 (Wed Apr 13 2005 - 10:33:55 PDT)
- RE: [sv-cc] parameter diagram (Wed Apr 13 2005 - 10:22:07 PDT)
- [sv-cc] 'Does anyone remember what vpiInterfaceTask means? (Tue Apr 12 2005 - 12:11:14 PDT)
- RE: [sv-cc] Definition of ref obj: 455 and 489 (Tue Apr 12 2005 - 10:39:14 PDT)
- [sv-cc] Yet another upload for 533 (Tue Apr 12 2005 - 07:47:28 PDT)
- [sv-cc] New proposal for 533 (Tue Apr 12 2005 - 07:09:46 PDT)
- [sv-cc] Definition of ref obj: 455 and 489 (Tue Apr 12 2005 - 06:02:21 PDT)
- [sv-cc] vpiParent and ref objs (Tue Apr 12 2005 - 05:55:01 PDT)
- RE: [sv-cc] ref obj: more clarifications and issues (Mon Apr 11 2005 - 12:56:27 PDT)
- [sv-cc] Proposal for 473: Notes on class object definition (Fri Apr 08 2005 - 07:47:02 PDT)
- RE: [sv-cc] More trivial items (Fri Apr 08 2005 - 05:21:37 PDT)
- [sv-cc] Proposal for 526: vpiValid for dynamic variables (Thu Apr 07 2005 - 13:43:56 PDT)
- RE: [SPAM] - RE: [sv-cc] Handling trivial issues - Bayesian Filter detected spam (Thu Apr 07 2005 - 12:25:25 PDT)
- [sv-cc] On TRUE/true and FALSE/false (Thu Apr 07 2005 - 12:21:07 PDT)
- [sv-cc] More uploads ... (Thu Apr 07 2005 - 07:57:06 PDT)
- [sv-cc] Issue 467: 32.21 extend or extends? (Thu Apr 07 2005 - 06:44:37 PDT)
- [sv-cc] Issue 468 (Thu Apr 07 2005 - 06:23:22 PDT)
- [sv-cc] Proposal for Issue #469 (Wed Apr 06 2005 - 13:05:46 PDT)
- RE: [sv-cc] Partial proposals - Issue #266 - Negative vote from Entity #6 (Mon Apr 04 2005 - 08:51:46 PDT)
- [sv-cc] Issues no longer at issue (Wed Feb 23 2005 - 12:49:14 PST)
- [sv-cc] Issue #337: Informative Table 32-1 (Tue Feb 15 2005 - 12:37:35 PST)
- [sv-cc] Issue # 338 (Tue Feb 15 2005 - 12:33:59 PST)
- [sv-cc] Closed three issues ... (Tue Feb 15 2005 - 10:52:55 PST)
- RE: [sv-cc] errata 373 (Thu Feb 10 2005 - 10:38:43 PST)
- RE: [sv-cc] uploaded a new version for errata 373 (Thu Feb 10 2005 - 10:36:31 PST)
- RE: [sv-cc] errata 373 (Thu Feb 10 2005 - 08:26:28 PST)
- RE: [sv-cc] errata 373 (Thu Feb 10 2005 - 07:58:28 PST)
- RE: [sv-cc] concatenations and structure/array constructors (Wed Feb 09 2005 - 06:59:22 PST)
- RE: [sv-cc] Part Select Utilities: Semantic Clarification (Wed Feb 02 2005 - 08:43:49 PST)
- RE: [sv-cc] Part Select Utilities: Semantic Clarification (Wed Feb 02 2005 - 08:14:46 PST)
- RE: [sv-cc] SV-CC agenda for 02/02/2005 - please note the time change! (Tue Feb 01 2005 - 10:56:58 PST)
- RE: [sv-cc] SV-CC Meeting minutes for 01/26/2005 (Wed Jan 26 2005 - 12:25:28 PST)
- [sv-cc] Changes reviewed, issues closed (Mon Jan 24 2005 - 07:37:28 PST)
- RE: [sv-cc] 62, 63, and 301: On 31.10 Variables (Mon Jan 24 2005 - 07:20:34 PST)
- RE: [sv-cc] 62, 63, and 301: On 31.10 Variables (Fri Jan 21 2005 - 12:26:55 PST)
- [sv-cc] Reopened Issue #333 (VPI for Nets) (Fri Jan 21 2005 - 12:22:43 PST)
- [sv-cc] 62, 63, and 301: On 31.10 Variables (Fri Jan 21 2005 - 11:24:04 PST)
- [sv-cc] Correction for 1800 LRM re: issue #284 (Fri Jan 21 2005 - 10:09:09 PST)
- [sv-cc] Correction for LRM re: issue #282. (Fri Jan 21 2005 - 08:54:39 PST)
- RE: [sv-cc] Fixes needed for sv_dpi_user.h (Mon Jan 17 2005 - 12:43:26 PST)
- RE: [sv-cc] Fixes needed for sv_dpi_user.h (Mon Jan 17 2005 - 12:28:57 PST)
- RE: [sv-cc] Fixes needed for sv_dpi_user.h (Mon Jan 17 2005 - 12:15:33 PST)
- RE: [sv-cc] Schedule and process for reviewing incorporated Items (Fri Jan 14 2005 - 14:04:39 PST)
- RE: [sv-cc] Question on errata 53 (Wed Jan 12 2005 - 08:12:22 PST)
- RE: [sv-cc] Two quick proposals from this week's meeting (Fri Jan 07 2005 - 13:16:10 PST)
- RE: [sv-cc] Two quick proposals from this week's meeting (Fri Jan 07 2005 - 08:23:38 PST)
- [sv-cc] New (final) proposal for #333 ... (Wed Dec 15 2004 - 10:05:58 PST)
- RE: [sv-cc] Updated Proposal for SV-CC #64 (Wed Dec 15 2004 - 08:12:40 PST)
- [sv-cc] New DPI item, with proposal (Mon Dec 13 2004 - 11:49:19 PST)
- [sv-cc] Yet another updated proposal for types on wires (Fri Dec 10 2004 - 10:43:58 PST)
- RE: [sv-cc] Joint proposal for SV-CC #50 ready for review (Fri Dec 10 2004 - 07:21:31 PST)
- RE: [sv-cc] SV-CC Meeting minutes for 12/08/2004 (Thu Dec 09 2004 - 13:36:39 PST)
- RE: [sv-cc] SV-CC agenda for 12/08/2004 (Wed Dec 08 2004 - 08:14:31 PST)
- [sv-cc] Updated proposal for types on wires (Tue Dec 07 2004 - 13:37:26 PST)
- RE: [sv-cc] RE: [sv-ec] String proposal (Tue Dec 07 2004 - 11:32:58 PST)
- RE: [sv-cc] [Fwd: Issue 50: Action Item] (Mon Dec 06 2004 - 13:55:59 PST)
- [sv-cc] VPI proposal for types on wires (Mon Dec 06 2004 - 12:56:17 PST)
- RE: [sv-cc] Errata #63, #158, #330 amended as discussed in today's meeting (Wed Dec 01 2004 - 12:47:37 PST)
- RE: [sv-cc] errata 40 (Wed Dec 01 2004 - 07:45:02 PST)
- RE: [sv-cc] SV-CC Meeting minutes for 11/24/2004 (Wed Dec 01 2004 - 06:21:25 PST)
- RE: [sv-cc] [Fwd: Re: Immediate priority issues] (Tue Nov 30 2004 - 14:17:26 PST)
- [sv-cc] Commenets on proposal for #158 (Tue Nov 30 2004 - 13:05:30 PST)
- [sv-cc] Updated proposal for #61 (Mon Nov 29 2004 - 12:43:39 PST)
- RE: [sv-cc] Item 160: define 'linearize' multiple packed dimensions (Mon Nov 29 2004 - 12:03:23 PST)
- [sv-cc] RE: Corrected proposal for uwire (Mon Nov 29 2004 - 07:03:29 PST)
- [sv-cc] Proposal for #301 (Wed Nov 24 2004 - 11:52:28 PST)
- [sv-cc] Proposal for #284 ... (Wed Nov 24 2004 - 10:00:55 PST)
- [sv-cc] Proposals for #282 ... (Wed Nov 24 2004 - 09:58:44 PST)
- RE: [sv-cc] Multiple NUL Terminated Strings (WAS: Clarification on my earlier mail regarding on strings) (Wed Nov 24 2004 - 06:57:23 PST)
- RE: [sv-cc] Multiple NUL Terminated Strings (WAS: Clarification on my earlier mail regarding on strings) (Wed Nov 24 2004 - 05:24:02 PST)
- [sv-cc] Proposal posted for 309 (PTF 622) (Tue Nov 23 2004 - 13:39:47 PST)
- RE: [sv-cc] Multiple NUL Terminated Strings (WAS: Clarification on my earlier mail regarding on strings) (Tue Nov 23 2004 - 12:20:22 PST)
- RE: [sv-cc] Item 313: PTF 296: Generate stmts will need change made in VPI (Mon Nov 22 2004 - 12:19:47 PST)
- RE: [sv-cc] Item 313: PTF 296: Generate stmts will need change made in VPI (Mon Nov 22 2004 - 12:13:57 PST)
- RE: [sv-cc] Proposal for VPI support of types on nets (Mon Nov 22 2004 - 11:09:06 PST)
- [sv-cc] Proposal for VPI support of types on nets (Mon Nov 22 2004 - 08:46:51 PST)
- [sv-cc] Proposal for SV-CC issue 307 (Old PTF 530) (Mon Nov 22 2004 - 07:21:07 PST)
- RE: [sv-cc] PTF items and a proposal for PTF 342. (Tue Nov 16 2004 - 11:16:14 PST)
- RE: [sv-cc] PTF items and a proposal for PTF 342. (Tue Nov 16 2004 - 08:09:54 PST)
- [sv-cc] Proposal for 79 (true/TRUE and false/FALSE) uploaded (Fri Nov 12 2004 - 07:23:35 PST)
- [sv-cc] Proposal for vpiType strings for reg vs. logic var (Fri Nov 12 2004 - 05:48:10 PST)
- [sv-cc] RE: Proposal for multiple statements in task or function (Fri Nov 12 2004 - 05:42:42 PST)
- [sv-cc] Proposal for multiple statements in task or function (Fri Nov 12 2004 - 05:41:30 PST)
- [sv-cc] Are all unpacked arrays VPI array vars? (Thu Nov 11 2004 - 12:39:23 PST)
- [sv-cc] Issue #65 -- clarification (Thu Nov 11 2004 - 05:45:10 PST)
- [sv-cc] Proposal added to 295 (no var bit typespec) (Wed Nov 10 2004 - 13:48:20 PST)
- RE: [sv-cc] Issue #65 (Wed Nov 10 2004 - 08:12:44 PST)
- RE: [sv-cc] Proposal uploaded for #72, #288 (Wed Nov 10 2004 - 08:08:12 PST)
- [sv-cc] New issue, new proposal ... (Mon Nov 08 2004 - 13:03:30 PST)
- [sv-cc] Issue #61 (Mon Nov 08 2004 - 10:27:26 PST)
- [sv-cc] New erratum with proposal (Mon Nov 08 2004 - 08:57:15 PST)
- [sv-cc] Suggestion for proposal for #121 (Tue Nov 02 2004 - 13:58:45 PST)
- RE: [sv-cc] SV-CC proposals updated (Tue Nov 02 2004 - 11:38:22 PST)
- [sv-cc] Proposal added for issue 60 (Fri Oct 29 2004 - 10:47:06 PDT)
- RE: [sv-cc] Assertion VPI discussion (Thu Oct 28 2004 - 08:18:27 PDT)
- RE: [sv-cc] Another errata for 32 bit wide items ? (Thu Oct 28 2004 - 05:55:58 PDT)
- RE: [sv-cc] Assertion VPI discussion (Thu Oct 28 2004 - 05:50:16 PDT)
- RE: [sv-cc] Another errata for 32 bit wide items ? (Wed Oct 27 2004 - 10:45:58 PDT)
- [sv-cc] Proposal 123 updated (Wed Oct 27 2004 - 09:38:11 PDT)
- RE: [sv-cc] Item 205, proposal ready, summary (Tue Oct 26 2004 - 12:14:18 PDT)
- [sv-cc] Proposal (combined) for issues 121, 122, 123 (Fri Oct 22 2004 - 13:36:23 PDT)
- [sv-cc] RE: [SystemVerilog Errata 0000044]: var bit vs. vpiVarBitVar in VPI (Fri Oct 22 2004 - 07:23:27 PDT)
- [sv-cc] Breaking down 205 into smaller issues (Mon Oct 04 2004 - 12:12:02 PDT)
- RE: [sv-cc] SV-CC Meeting minutes for 09/22/2004 (Wed Sep 22 2004 - 14:57:49 PDT)
- RE: [sv-cc] SV-CC agenda for 9/22/2004 (Wed Sep 22 2004 - 10:33:04 PDT)
- [sv-cc] My proposals (Mon Sep 20 2004 - 09:10:11 PDT)
- Joao Geada
- Joao.Geada@synopsys.com
- John Havlicek
- John Michael Williams
- John Shields
- Johny Srouji
- Jonathan Bromley
- K. Cameron [SV]
- Kaiming Ho
- Karen Pieper
- Kevin Cameron
- Kevin Cameron x3251
- Korchemny, Dmitry
- Krishna Garlapati
- Krzysztof Konopko
- Lisa Piper
- Maidment, Matthew R
- Mark Hartoog
- Mark Strickland (mastrick)
- Michael Burns
- Michael McNamara
- Michael Rohleder
- [sv-cc] Mantis 4132 (Wed Jul 11 2012 - 08:46:12 PDT)
- Re: [sv-cc] SV-CC Agenda for May-16-2012 (Wed May 23 2012 - 08:16:43 PDT)
- Re: [sv-cc] SV-CC Agenda for May-16-2012 (Wed May 23 2012 - 07:50:37 PDT)
- [sv-cc] MSDN info on _MSC_VER vs. _WIN32 (Wed Oct 26 2011 - 09:19:24 PDT)
- [sv-cc] WIN32 and _WIN32 define (Wed Jul 20 2011 - 09:25:01 PDT)
- [sv-cc] No call today ? (Wed Jul 06 2011 - 09:27:39 PDT)
- Re: [sv-cc] Master list of SV-CC Mantis Items (Wed May 26 2010 - 14:42:48 PDT)
- Re: [sv-cc] Call for email vote on Mantis Item 1775 (Wed Feb 11 2009 - 11:59:16 PST)
- Re: PASSCODE WRONG ??? Re: [sv-cc] SV-CC agenda for 07/16/2008 (Wed Jul 16 2008 - 09:30:51 PDT)
- PASSCODE WRONG ??? Re: [sv-cc] SV-CC agenda for 07/16/2008 (Wed Jul 16 2008 - 09:09:40 PDT)
- [sv-cc] Aligning VIP TSC & SV-CC Conference calls (Wed May 14 2008 - 10:50:16 PDT)
- Re: [sv-cc] SV-CC Data Read API meeting agenda for 02/06/2008 (Wed Feb 06 2008 - 08:51:09 PST)
- Re: [sv-cc] SV-CC Data Read API meeting agenda for 02/06/2008 (Wed Feb 06 2008 - 08:48:45 PST)
- [sv-cc] Mantis item #2226 (Wed Jan 02 2008 - 08:17:35 PST)
- Re: [sv-ac] Re: [sv-ec] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] (Fri Sep 21 2007 - 05:57:52 PDT)
- Re: [sv-ac] Re: [sv-ec] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] (Fri Sep 21 2007 - 05:52:03 PDT)
- Re: [sv-cc] Meeting minutes for 06/06/2007 (Tue Jun 12 2007 - 05:09:06 PDT)
- [sv-cc] Re: Are we all thinking of the same use model for compatibility modes? (Thu Apr 12 2007 - 08:59:03 PDT)
- [sv-cc] Re: Are we all thinking of the same use model for compatibility modes? (Thu Apr 12 2007 - 05:45:51 PDT)
- [sv-cc] picture for discussion today (Wed Apr 11 2007 - 09:28:20 PDT)
- Re: FW: [sv-ec] RE: [sv-cc] PDF version of clean Scheduling Proposal (Tue Apr 10 2007 - 05:46:03 PDT)
- [sv-cc] Feedback about Mantis 1752 (Wed Mar 07 2007 - 10:40:39 PST)
- Re: [sv-cc] PDF version of clean Scheduling Proposal (Wed Feb 14 2007 - 10:31:25 PST)
- [sv-cc] Example header file for discussion today (Wed Oct 25 2006 - 05:43:18 PDT)
- Re: [sv-cc] Please RSVP for face-to-face meeting (Thu Sep 14 2006 - 09:42:20 PDT)
- Re: [sv-cc] SV-CC agenda for 09/13/2006 (Wed Sep 13 2006 - 01:36:22 PDT)
- Re: [sv-cc] RE: [sv-bc] FW: mantis item 104: vcd file and data read API (Mon Jul 03 2006 - 03:08:38 PDT)
- Re: [sv-cc] SV-CC agenda for 01/18/2005 (Wed Jan 18 2006 - 10:21:15 PST)
- Re: [sv-cc] Email ballot on Item 734 (Thu May 12 2005 - 07:40:36 PDT)
- Re: [sv-cc] Proposal for Mantis 345 has been updated (Mon May 09 2005 - 11:04:36 PDT)
- Re: [sv-cc] Proposal uploaded for handling IP Encryption (Fri Apr 29 2005 - 02:19:16 PDT)
- Re: [sv-cc] Some more thought on the bootstrapping process (Thu Apr 28 2005 - 11:02:16 PDT)
- Re: [sv-cc] Proposal for Item 372. (Fri Apr 15 2005 - 05:52:34 PDT)
- Re: [sv-cc] vpiParent and ref objs (Thu Apr 14 2005 - 07:14:13 PDT)
- [sv-cc] Proposal for #426 uploaded (Thu Apr 07 2005 - 07:50:14 PDT)
- Re: [SPAM] - [sv-cc] Proposals added for #422, #423, and #427 - Email found in subject (Thu Apr 07 2005 - 06:40:43 PDT)
- [sv-cc] Proposal for #431 uploaded (Wed Apr 06 2005 - 04:42:34 PDT)
- [sv-cc] Proposals added for #422, #423, and #427 (Wed Apr 06 2005 - 04:12:11 PDT)
- Re: [sv-cc] proposal for errata 373 has been uploaded (Thu Feb 10 2005 - 06:40:53 PST)
- Re: [sv-cc] SV LRM Review 158, 72, 330 et al. (Wed Jan 26 2005 - 11:23:36 PST)
- [sv-cc] SV LRM Review 158, 72, 330 et al. (Wed Jan 26 2005 - 08:49:01 PST)
- Re: [sv-cc] 62, 63, and 301: On 31.10 Variables (Mon Jan 24 2005 - 02:36:48 PST)
- Re: [sv-cc] Need clarification on erratum 62 (Tue Jan 11 2005 - 09:55:12 PST)
- Re: [sv-cc] Two quick proposals from this week's meeting (Mon Jan 10 2005 - 06:00:42 PST)
- Re: [sv-cc] Let's try to do an email vote. (Tue Dec 21 2004 - 09:08:23 PST)
- Re: [sv-cc] Need to meet today or early tomorrow. (Mon Dec 20 2004 - 09:36:34 PST)
- Re: [sv-cc] P1800 WG outcome (Thu Dec 16 2004 - 07:09:50 PST)
- Re: [sv-cc] Errata #63, #158, #330 amended as discussed in today's meeting (Thu Dec 02 2004 - 09:46:45 PST)
- [sv-cc] Errata #63, #158, #330 amended as discussed in today's meeting (Wed Dec 01 2004 - 11:03:05 PST)
- Re: [sv-cc] Immediate items that don't have a proposal (Wed Dec 01 2004 - 07:06:15 PST)
- [sv-cc] Proposals for #63, #158 (Tue Nov 30 2004 - 11:48:19 PST)
- [sv-cc] Proposal for #288 (Wed Nov 24 2004 - 11:02:07 PST)
- Re: [sv-cc] Multiple NUL Terminated Strings (WAS: Clarification on my earlier mail regarding on strings) (Wed Nov 24 2004 - 06:25:50 PST)
- Re: [sv-cc] Multiple NUL Terminated Strings (WAS: Clarification on my earlier mail regarding on strings) (Wed Nov 24 2004 - 02:11:58 PST)
- [sv-cc] Errata 72 updated (Wed Nov 17 2004 - 11:51:59 PST)
- [sv-cc] Errata 72 updated (Wed Nov 17 2004 - 10:19:46 PST)
- [sv-cc] Errata 288 updated (Wed Nov 17 2004 - 10:10:26 PST)
- Re: [sv-cc] Proposal uploaded for #72, #288 (Wed Nov 10 2004 - 00:43:27 PST)
- [sv-cc] Proposal uploaded for #72, #288 (Tue Nov 09 2004 - 09:15:32 PST)
- Re: [sv-cc] Another errata for 32 bit wide items ? (Wed Nov 03 2004 - 08:29:39 PST)
- [sv-cc] Another errata for 32 bit wide items ? (Wed Oct 27 2004 - 10:08:42 PDT)
- Re: [sv-cc] More information on C ABI's for those interested (Fri Oct 15 2004 - 00:47:43 PDT)
- [sv-cc] Call for participation - my status (Wed Sep 22 2004 - 08:52:55 PDT)
- Re: [sv-cc] Meeting tomorrow - agenda (Wed Aug 11 2004 - 09:07:05 PDT)
- Re: [sv-cc] Meeting reminder - 07/21/04 (Wed Jul 21 2004 - 09:04:11 PDT)
- [sv-cc] Minutes of the special SV-CC conference call on 23-Apr-2004 (Mon Apr 26 2004 - 02:25:50 PDT)
- Re: [sv-cc] Errors in LRM draft6 to add (Thu Apr 22 2004 - 10:28:36 PDT)
- Re: [sv-cc] Meeting reminder - 04/21/2004 (Wed Apr 21 2004 - 09:09:27 PDT)
- Re: [sv-cc] Question on LRM E.6.7 (Fri Apr 16 2004 - 00:49:33 PDT)
- Re: [sv-cc] Question on LRM E.6.7 (Thu Apr 15 2004 - 12:08:42 PDT)
- Re: [sv-cc] RE: [sv-ec] Agenda And Plans For Full SV Meeting IN Mountain Views (Tue Mar 02 2004 - 02:02:46 PST)
- [sv-cc] Review of draft 4 - Person E (Thu Feb 12 2004 - 09:52:02 PST)
- [sv-cc] Minutes from the SystemVerilog conference call 11-Feb-2004 (Wed Feb 11 2004 - 09:23:30 PST)
- [sv-cc] Assertion API - index (Fri Jan 30 2004 - 09:37:41 PST)
- Re: [sv-cc] assertion erratas: 2 minor issues (Wed Jan 21 2004 - 08:12:46 PST)
- [sv-cc] Minutes from SV-CC conference call at 21-Jan-2004 (Wed Jan 21 2004 - 10:11:20 PST)
- Re: [sv-cc] ANN: Assertion Errata poll and VPI Ext vote (Wed Jan 21 2004 - 03:06:41 PST)
- [sv-cc] Minor comments on VPI extensions doc (Tue Jan 20 2004 - 10:32:21 PST)
- [sv-cc] [Fwd: [sv-ec] Next weeks meeting] (Wed Jan 14 2004 - 12:23:52 PST)
- Re: [sv-cc] Updated rev of Reader VPI extension (1/12/04) (Wed Jan 14 2004 - 05:13:09 PST)
- Re: [sv-cc] Special meeting reminder - 01/12/04 (Mon Jan 12 2004 - 09:09:13 PST)
- Re: [sv-cc] ANN: Vote on the Reader VPI (Fri Jan 09 2004 - 02:11:07 PST)
- Re: [sv-cc] Assertion API errata (Thu Jan 08 2004 - 00:55:00 PST)
- [sv-cc] Comments w.r.t. Section 29, SystemVerilog Data Read API (Wed Jan 07 2004 - 11:33:36 PST)
- [sv-cc] Assertion API errata (Wed Jan 07 2004 - 09:47:32 PST)
- Re: [sv-cc] Assertion API errata, 2nd (Mon Jan 05 2004 - 01:32:21 PST)
- [sv-cc] Assertion API errata, 2nd (Fri Jan 02 2004 - 04:52:38 PST)
- Re: [sv-cc] Assertion API errata (Fri Jan 02 2004 - 04:50:27 PST)
- [sv-cc] Assertion API errata (Mon Dec 22 2003 - 08:06:15 PST)
- Re: [sv-cc] Some more thought on the bootstrapping process (Fri Dec 19 2003 - 05:36:54 PST)
- Re: [sv-cc] Minutes of the Conference Call - SV-CC committee 17-Dec-2003 (Wed Dec 17 2003 - 11:27:43 PST)
- Re: [sv-cc] RE: Feedback on Read API (VPI enhancement) (Wed Dec 17 2003 - 11:23:46 PST)
- [sv-cc] Minutes of the Conference Call - SV-CC committee 17-Dec-2003 (Wed Dec 17 2003 - 10:31:35 PST)
- Re: [sv-cc] Assertion errata--items to discuss on 12/17 meeting (Thu Dec 11 2003 - 10:39:09 PST)
- Re: [sv-cc] Assertion errata (Thu Dec 11 2003 - 00:39:04 PST)
- Re: [sv-cc] ANN: Ballots for 2 polls (Wed Dec 10 2003 - 02:44:16 PST)
- Re: [sv-cc] Meeting Minutes: SV-CC Weekly Meeting - 10-22-03 (Thu Dec 04 2003 - 02:17:01 PST)
- [sv-cc] Minutes of the SV-CC conf call on 19-Nov-2003 (Wed Nov 19 2003 - 10:08:08 PST)
- Re: [sv-cc] ANN: Two votes on SV 3.1A donations (Wed Nov 19 2003 - 03:56:44 PST)
- Re: [sv-cc] Final agenda and meeting reminder for sv-cc face-to-face 11/12 (Wed Nov 12 2003 - 09:05:39 PST)
- [sv-cc] Minutes of the SV-CC conf call 5-Nov-2003 [revised upon input from Avinash] (Wed Nov 05 2003 - 10:55:16 PST)
- [sv-cc] Minutes of the SV-CC conf call 5-Nov-2003 (Wed Nov 05 2003 - 10:19:44 PST)
- Re: [sv-cc] Calling Verilog tasks from C and disable behaviour (Wed Oct 29 2003 - 07:36:43 PST)
- Re: [sv-cc] ANN: Acceptance vote on Novas VCD donation (Wed Oct 29 2003 - 07:46:58 PST)
- Re: [sv-cc] Disables and DPI interaction (Tue Oct 21 2003 - 01:30:58 PDT)
- Re: [sv-cc] DPI tasks and function interaction with disable statements (Tue Oct 14 2003 - 12:10:33 PDT)
- [sv-cc] Minutes of the SV-CC conference call at 31-Sep-2003 (Wed Oct 01 2003 - 09:39:52 PDT)
- Re: [sv-cc] No meeting tomorrow (09/03) and some comments (Wed Sep 03 2003 - 09:42:24 PDT)
- Re: [sv-cc] Poll on errata for open arrays (Wed Sep 03 2003 - 09:40:53 PDT)
- Re: [sv-cc] Vote and polls on 3 issues (Wed Aug 06 2003 - 09:50:39 PDT)
- [sv-cc] Scheduling Semantics - Chapter 14 (Thu Jul 31 2003 - 11:14:26 PDT)
- [sv-cc] Meeting minutes from 23-Jul-2003 - SV-CC (Wed Jul 23 2003 - 09:43:25 PDT)
- Re: [sv-cc] Question from ["Edelman, Rich" <rich_edelman@mentorg.com>] (Tue Jul 22 2003 - 16:04:36 PDT)
- [sv-cc] Minutes for the SV-CC Conference Call @ 22-Apr-2003 (Mon Apr 28 2003 - 09:51:29 PDT)
- Re: [sv-cc] Minutes for 04/08/03 meeting (Thu Apr 10 2003 - 01:38:28 PDT)
- Re: [sv-cc] Final proposal for user data management (Thu Mar 27 2003 - 10:27:16 PST)
- Re: [sv-cc] Date: Mon, 24 Mar 2003 13:01:02 -0500 (Tue Mar 25 2003 - 13:53:50 PST)
- [sv-cc] Minutes from SV-CC conference call 25-Mar-2003 (Tue Mar 25 2003 - 09:59:41 PST)
- Re: [sv-cc] Date: Mon, 24 Mar 2003 13:01:02 -0500 (Tue Mar 25 2003 - 06:56:05 PST)
- Re: [sv-cc] Updated LRM (v0.6) (Fri Mar 21 2003 - 04:25:53 PST)
- Re: [sv-cc] FW: [sv-bc] External Functions and Tasks proposal (Fri Mar 14 2003 - 11:21:07 PST)
- Re: [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer (Fri Mar 14 2003 - 10:45:25 PST)
- Re: [sv-cc] DPI context - setting/preserving&resetting a scope (Thu Mar 13 2003 - 14:10:54 PST)
- Re: [sv-cc] RE: Version 2 of DPI LRM (Thu Mar 13 2003 - 14:02:48 PST)
- Re: [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer (Thu Mar 13 2003 - 13:50:03 PST)
- Re: [sv-cc] Updated extern/exports proposal (Wed Mar 12 2003 - 16:00:34 PST)
- Re: [sv-cc] [Fwd: Re: Version 2 of DPI LRM] (Wed Mar 12 2003 - 17:30:58 PST)
- Re: [sv-cc] Updated externexport proposal (version N+2) (Wed Mar 12 2003 - 16:56:59 PST)
- Re: [sv-cc] RE: Version 2 of DPI LRM (Wed Mar 12 2003 - 16:29:24 PST)
- Re: [sv-cc] Updated extern/exports proposal (Wed Mar 12 2003 - 09:04:37 PST)
- [sv-cc] [Fwd: [sv-ec] class scope resolution operator] (Wed Mar 12 2003 - 05:07:34 PST)
- Re: [sv-cc] SV-CC minutes 03/11/03 (Wed Mar 12 2003 - 05:18:54 PST)
- Re: [sv-cc] Polls on extern/export and representation of SV data types (Tue Mar 11 2003 - 09:12:38 PST)
- Re: [sv-cc] Conf call access (Tue Mar 11 2003 - 09:09:12 PST)
- Re: [sv-cc] Meeting reminder 03/11/03 (Tue Mar 11 2003 - 09:07:25 PST)
- Re: [sv-cc] Polls on extern/export and representation of SV data types (Tue Mar 11 2003 - 02:24:55 PST)
- [sv-cc] Inclusion file appendix (Mon Mar 10 2003 - 10:34:22 PST)
- Re: [sv-cc] Header file names? (Mon Mar 10 2003 - 02:16:10 PST)
- [sv-cc] Minutes of the SV-CC Conference Call 5-Mar-2003 (Mon Mar 10 2003 - 02:08:15 PST)
- Re: [sv-cc] Polls on extern/export and representation of SV data types (Sat Mar 08 2003 - 13:46:01 PST)
- Re: [sv-cc] Draft LRM Editing Process (Fri Mar 07 2003 - 03:01:06 PST)
- [sv-cc] DATE tutorial presentation (Wed Mar 05 2003 - 10:21:54 PST)
- Re: [sv-cc] meeting minutes 3/4 (Wed Mar 05 2003 - 01:11:05 PST)
- Re: [sv-cc] Comments on Michael's documents (Tue Mar 04 2003 - 02:17:50 PST)
- Re: [sv-cc] Comments on Michael's documents (Sun Mar 02 2003 - 13:11:51 PST)
- Re: [sv-cc] DirectC: supporting references in DirectC (Fri Feb 28 2003 - 08:02:25 PST)
- [sv-cc] Re: Question & Comment (Fri Feb 28 2003 - 02:41:59 PST)
- Re: [sv-cc] Informal poll on constant naming convention (Thu Feb 27 2003 - 03:24:49 PST)
- [sv-cc] Question & Comment (Tue Feb 25 2003 - 15:26:08 PST)
- Re: [sv-cc] compiling and linking source code (Mon Feb 24 2003 - 09:38:52 PST)
- Re: [sv-cc] Poll on Issue 1.9 (Michael's Inclusion proposal) (Fri Feb 21 2003 - 11:26:36 PST)
- Re: [sv-cc] DirectC: defaults for args, passing by name (Wed Feb 19 2003 - 02:39:55 PST)
- Re: [sv-cc] Looking for proposals on DirectC/other names (Wed Feb 19 2003 - 01:52:00 PST)
- Re: [sv-cc] DirectC: defaults for args, passing by name (Tue Feb 18 2003 - 12:07:44 PST)
- [sv-cc] svInclusion document (final) - Source (Tue Feb 18 2003 - 11:21:21 PST)
- [sv-cc] Minutes of the SV-CC Conference Call 18-Feb-2003 (Tue Feb 18 2003 - 10:37:40 PST)
- [sv-cc] Difference between 'inclusion' proposal and current simulator implementations (Tue Feb 18 2003 - 07:19:08 PST)
- Re: [sv-cc] Update on inclusion doc (Tue Feb 18 2003 - 07:17:59 PST)
- Re: [sv-cc] Update on inclusion doc (Tue Feb 18 2003 - 07:06:17 PST)
- Re: [sv-cc] another directC question (Tue Feb 11 2003 - 14:56:06 PST)
- Re: [sv-cc] Polls on Coverage VPI and String datatype (Fri Feb 07 2003 - 07:37:31 PST)
- Re: [sv-cc] Meeting minutes for Feb 5, 2003 (Thu Feb 06 2003 - 04:38:08 PST)
- [sv-cc] Update on inclusion doc (Wed Feb 05 2003 - 08:48:40 PST)
- Re: [sv-cc] Updated proposal for C/C++ file inclusion (Tue Jan 28 2003 - 07:24:16 PST)
- Re: [sv-cc] Updated proposal for C/C++ file inclusion (Tue Jan 28 2003 - 07:52:00 PST)
- Re: [sv-cc] sv-cc 2 sv-ec: extern/export requirements (Tue Jan 28 2003 - 06:11:54 PST)
- [sv-cc] Updated proposal for C/C++ file inclusion (Mon Jan 27 2003 - 13:24:25 PST)
- Re: [sv-cc] F2F telecon and other logistics info (Thu Jan 23 2003 - 09:01:30 PST)
- Re: SV-CC F2F Meeting Details - 1/23 - agenda? (Tue Jan 21 2003 - 01:49:09 PST)
- Vote on C API (Mon Jan 20 2003 - 13:14:38 PST)
- SV_CC meeting minutes for 15 Jan, 2003: My action items (Fri Jan 17 2003 - 13:26:16 PST)
- Re: Poll on 2.1 (Assertion API) (Wed Jan 15 2003 - 12:40:46 PST)
- Re: Special State (Wed Jan 15 2003 - 09:32:06 PST)
- Re: Special State (Wed Jan 15 2003 - 08:43:49 PST)
- Special State (Wed Jan 15 2003 - 02:50:25 PST)
- Re: DirectC: C layer - naming convention (Wed Jan 15 2003 - 01:15:46 PST)
- Re: pointers & handles (Wed Jan 08 2003 - 07:47:15 PST)
- Re: pointers & handles (Tue Jan 07 2003 - 14:45:24 PST)
- Re: "scv" and "svc" prefixes (Tue Jan 07 2003 - 14:36:23 PST)
- Re: Minutes January 7, 2003 (Tue Jan 07 2003 - 14:27:18 PST)
- Re: Vote on Kevin's proposal (Mon Dec 23 2002 - 13:05:27 PST)
- Re: Vote on Kevin's proposal (Mon Dec 23 2002 - 00:53:08 PST)
- Meeting minutes for the SV-CC Committee, 17-Dec-2002 (RESEND) (Thu Dec 19 2002 - 16:43:20 PST)
- Re: DirectC C-Layer: open arrays and abstract access (Thu Dec 19 2002 - 16:12:14 PST)
- Meeting minutes for the SV-CC Committee, 17-Dec-2002 (Tue Dec 17 2002 - 13:29:16 PST)
- Re: DirectC: C layer (Mon Dec 16 2002 - 12:53:29 PST)
- Re: DirectC C-Layer: open arrays and abstract access (Mon Dec 16 2002 - 13:21:29 PST)
- Re: DirectC memory ownership - proposed rules (Mon Dec 16 2002 - 11:40:46 PST)
- Re: DirectC: C layer - call by value vs. reference (Mon Dec 16 2002 - 08:31:01 PST)
- Re: DirectC: C layer (Wed Dec 11 2002 - 02:47:41 PST)
- Re: DirectC: C layer (Tue Dec 10 2002 - 10:30:59 PST)
- Re: ISSUE #?? Proposal: const attribute for input params (Thu Dec 05 2002 - 10:51:34 PST)
- Re: ISSUE #?? Proposal: const attribute for input params (Tue Dec 03 2002 - 11:06:56 PST)
- Re: DirectC: proposals for Open Issues 1.2, 1.3, 1.8, 1.9, 1.10. (Fri Nov 29 2002 - 05:17:39 PST)
- [Fwd: ISSUE #?? Proposal: const attribute for input params] (Wed Nov 27 2002 - 10:02:27 PST)
- Re: Attendance list (Wed Nov 27 2002 - 09:28:52 PST)
- Re: ISSUE #?? Proposal: const attribute for input params (Tue Nov 26 2002 - 11:13:58 PST)
- Poll on ISSUE 1.7 (Tue Nov 26 2002 - 10:42:44 PST)
- ISSUE #?? Proposal: const attribute for input params (Tue Nov 26 2002 - 10:31:43 PST)
- Re: Agenda for the face-to-face meeting on 12/03 (Tue Nov 26 2002 - 08:38:15 PST)
- Re: More on ISSUE 1.7 (Mon Nov 25 2002 - 05:14:23 PST)
- Re: Meeting minutes for the SV-CC Committee, Nov 20th, DRAFT (Mon Nov 25 2002 - 04:57:56 PST)
- Re: More on ISSUE 1.7: direct access is a must (Mon Nov 25 2002 - 03:11:47 PST)
- Re: Voting on ISSUE 1.6 - My vote (Fri Nov 22 2002 - 09:05:18 PST)
- Re: More on ISSUE 1.7 (Fri Nov 22 2002 - 04:44:37 PST)
- Meeting minutes for the SV-CC Committee, Nov 20th, DRAFT (Wed Nov 20 2002 - 11:18:04 PST)
- Meeting minutes for the SV-CC Committee, November 19, 2002 (Wed Nov 20 2002 - 02:02:47 PST)
- Draft minutes for todays call. (Tue Nov 19 2002 - 10:51:36 PST)
- Re: Looking ahead (Mon Nov 18 2002 - 04:55:57 PST)
- Re: issue 1.4: No clear relationship to other APIs (Thu Nov 07 2002 - 08:46:05 PST)
- Re: DirectC: properties/capabilities of external functions (Thu Nov 07 2002 - 07:40:43 PST)
- Re: ISSUE:DirectC:How to find C/C++ code ??? (Thu Nov 07 2002 - 07:07:21 PST)
- Re: ISSUE:DirectC:How to find C/C++ code ??? (Thu Nov 07 2002 - 06:58:00 PST)
- Re: issue 1.4: No clear relationship to other APIs (Thu Nov 07 2002 - 06:42:36 PST)
- ISSUE:DirectC:How to find C/C++ code ??? (Tue Nov 05 2002 - 09:03:38 PST)
- ISSUE:DirectC:Distinguish C and C++ code (Tue Nov 05 2002 - 08:32:26 PST)
- ISSUE:DirectC:Abstract Access Method requires rewrite of code (Tue Nov 05 2002 - 08:26:38 PST)
- Re: ISSUE:DirectC:Should use a common and unique prefix for allfunctions (Wed Oct 30 2002 - 10:18:51 PST)
- ISSUE:DirectC:Should use a common and unique prefix for all functions (Tue Oct 29 2002 - 08:52:48 PST)
- ISSUE:DirectC:No clear relationship to other API's (Tue Oct 29 2002 - 08:43:50 PST)
- Coverage API Draft vote (Fri Oct 25 2002 - 01:18:37 PDT)
- Re: Coverage semantics (Tue Oct 22 2002 - 07:45:41 PDT)
- Re: Discussion about Coverage Donation (Tue Oct 22 2002 - 08:17:44 PDT)
- Discussion about Coverage Donation (Thu Oct 17 2002 - 04:23:33 PDT)
- Re: Assertion API vote (Wed Oct 09 2002 - 06:40:00 PDT)
- Assertion API vote (Mon Oct 07 2002 - 12:33:00 PDT)
- Re: Minutes of meeting 10/01/02 (Fri Oct 04 2002 - 01:45:06 PDT)
- Re: SV APIs (Assertion) (Wed Oct 02 2002 - 03:20:53 PDT)
- Re: TCC (CHAIRS OF SV COMMITTEES) VISION (Mon Sep 30 2002 - 11:50:13 PDT)
- DirectC vote (Mon Sep 30 2002 - 08:59:02 PDT)
- Re: VPI requirements for System Verilog (Tue Sep 24 2002 - 06:19:44 PDT)
- Object Code vs. Source Code compatibility (Sat Aug 31 2002 - 09:12:16 PDT)
- Re: Proposed global requirements for all SV APIs (Mon Aug 19 2002 - 05:08:49 PDT)
- Re: Pls. review and comment the requirements for a direct foreign language interface (Sun Aug 11 2002 - 17:44:46 PDT)
- Use Cases & Requirements (Sun Aug 11 2002 - 16:09:54 PDT)
- [Fwd: Returned mail: see transcript for details] (Tue Aug 06 2002 - 10:29:21 PDT)
- mittra@juno.com
- Moorhouse, Abigail
- Nasim Hussain
- Neil Korpusik
- [sv-cc] Some email is bouncing (Thu Dec 15 2011 - 16:34:59 PST)
- Re: [sv-cc] feedback for mantis item 3423 (Mon Nov 21 2011 - 14:11:43 PST)
- [sv-cc] Champions results from Nov 17 conference call (Thu Nov 17 2011 - 09:23:24 PST)
- [sv-cc] Updates to the LRM need to be reviewed for accuracy (Tue Nov 15 2011 - 18:06:51 PST)
- [sv-cc] Technical Committee schedule update (Tue Nov 01 2011 - 15:49:40 PDT)
- [sv-cc] Re: What to do with Mantis items that are no longer an issue? (Wed Sep 28 2011 - 10:23:28 PDT)
- Re: [sv-cc] RE: Completed issues (Wed Sep 28 2011 - 09:56:11 PDT)
- [sv-cc] The most recent champions email vote results (Tue Sep 27 2011 - 16:22:01 PDT)
- Re: [sv-cc] RE: Completed issues (Tue Sep 27 2011 - 10:48:44 PDT)
- [sv-cc] Champion's email vote results (Mon Aug 08 2011 - 18:33:58 PDT)
- [sv-cc] Re: [sv-ac] RE: Resolution of Mantis 1646 (Wed Jun 15 2011 - 13:29:47 PDT)
- [sv-cc] Updates to the LRM need to be reviewed for accuracy (Thu Apr 28 2011 - 10:48:06 PDT)
- Re: [sv-cc] RE: Please vote on Mantis 3118 (Thu Mar 31 2011 - 10:53:11 PDT)
- [sv-cc] New rules for SystemVerilog Technical Committees (Sat Mar 12 2011 - 20:41:10 PST)
- [sv-cc] IEEE participation rules and TC cutoff date (Thu Jan 13 2011 - 10:47:42 PST)
- [sv-cc] Results of the Champions email vote ending on December 13th, 2010 (Wed Jan 12 2011 - 18:03:29 PST)
- Re: [sv-cc] RE: [sv-champions] Email vote - Ending December 13th (Thu Jan 06 2011 - 18:50:55 PST)
- [sv-cc] New guidelines for P1800 mantis proposals (Fri Oct 22 2010 - 14:07:12 PDT)
- [sv-cc] Results of Champions email vote which closed on Sept 29th (Tue Oct 12 2010 - 16:40:38 PDT)
- [sv-cc] Technical Committees Operating Guidelines - as a Working Group (Wed Jun 30 2010 - 18:17:20 PDT)
- [sv-cc] Technical Committees Operating Guidelines - as a Study Group (Mon Apr 12 2010 - 10:18:55 PDT)
- [sv-cc] Re: [sv-bc] 1800-2009 I.3 DPI Source comments flipped (SV) (Wed Jan 27 2010 - 17:14:50 PST)
- [sv-cc] Re: [sv-bc] 1800-2009 H.10.1.3 svDpiVersion on 2009 simulators (SV) (Wed Jan 27 2010 - 17:14:20 PST)
- Re: [sv-cc] Closing out Mantis Items (Thu Jul 23 2009 - 11:36:52 PDT)
- Re: [sv-cc] Do mantis items exist for ballot comments #144, #165, #166? (Sat Jul 18 2009 - 15:10:42 PDT)
- Re: [sv-cc] Do mantis items exist for ballot comments #144, #165, #166? (Sat Jul 18 2009 - 15:07:00 PDT)
- [sv-cc] Do mantis items exist for ballot comments #144, #165, #166? (Sat Jul 18 2009 - 14:45:29 PDT)
- [sv-cc] Work is allowed to continue until June 15th (Thu May 28 2009 - 10:05:57 PDT)
- [sv-cc] Question about mantis 2636 (Tue May 26 2009 - 17:56:28 PDT)
- [sv-cc] All mantis items should show the Ballot ID (Mon Apr 27 2009 - 14:37:03 PDT)
- [sv-cc] P1800 Technical Committee - working direction (Mon Apr 20 2009 - 10:42:57 PDT)
- [sv-cc] Voting rights in the Technical Committees are being reset (Wed Apr 08 2009 - 18:54:59 PDT)
- Re: [sv-cc] SV-CC Meeting agenda for Apr-08-2009 (Tue Apr 07 2009 - 13:50:23 PDT)
- [sv-cc] Draft 8 is almost ready (Thu Dec 04 2008 - 09:31:47 PST)
- Re: [sv-cc] Correction to clocking block diagram (Thu Oct 30 2008 - 17:46:48 PDT)
- Re: [sv-cc] Updated proposal for cbSizeChange (Thu Oct 30 2008 - 15:45:01 PDT)
- Re: [sv-cc] Mantis 2396 (edge) review (Tue Sep 16 2008 - 16:17:35 PDT)
- Re: [sv-cc] RE: [sv-champions] Email vote - ending August 13th (Mon Aug 18 2008 - 11:10:33 PDT)
- [sv-cc] Results of Champions email vote ending Aug 13th (Fri Aug 15 2008 - 18:03:48 PDT)
- Re: [sv-cc] RE: [sv-champions] Email vote - ending August 13th (Wed Aug 13 2008 - 18:38:21 PDT)
- [sv-cc] Minutes from the Champion's Aug 7th conference call (Thu Aug 07 2008 - 18:25:16 PDT)
- [sv-cc] Time to finish up all activities for this PAR (Sat Jul 19 2008 - 09:42:09 PDT)
- [sv-cc] Mantis 742 has been placed into the feedback state (Tue Jul 15 2008 - 18:50:42 PDT)
- [sv-cc] Mantis item 742 has been moved to the feedback state (Tue Jul 15 2008 - 18:38:46 PDT)
- Re: [sv-cc] RE: [sv-sc] VPI and Let, 2414 (also 1728 and 1503) (Tue Jul 08 2008 - 15:52:35 PDT)
- Re: [sv-cc] RE: [sv-sc] VPI and Let, 2414 (also 1728 and 1503) (Tue Jul 08 2008 - 15:49:27 PDT)
- [sv-cc] Mantis items that need to be reviewed in Draft 6 (Fri Jun 13 2008 - 17:36:47 PDT)
- Re: [sv-cc] Item #1230 set to feedback (Thu May 08 2008 - 07:42:49 PDT)
- Re: [sv-cc] Champions feedback from April 10 conference call (Wed May 07 2008 - 13:56:56 PDT)
- Re: [sv-cc] SV-CC agenda for 05/07/2008 (Tue May 06 2008 - 15:57:18 PDT)
- Re: [sv-cc] Champions feedback from April 10 conference call (Tue Apr 22 2008 - 09:20:02 PDT)
- [sv-cc] Champions feedback from April 10 conference call (Tue Apr 22 2008 - 08:53:53 PDT)
- [sv-cc] sv-sc Meeting agenda - April 21 (Sat Apr 19 2008 - 12:29:27 PDT)
- [sv-cc] New SystemVerilog alias sv-sc (Wed Apr 09 2008 - 18:12:15 PDT)
- [sv-cc] New P1800 technical committee - Call For Participation - reminder (Sat Apr 05 2008 - 20:12:19 PDT)
- [sv-cc] New P1800 technical committee - Call For Participation (Fri Mar 28 2008 - 17:58:41 PDT)
- [sv-cc] The reflector is back up (Sat Mar 01 2008 - 19:16:14 PST)
- [sv-cc] Mail reflectors will be unreliable on Saturday (Fri Feb 29 2008 - 16:09:27 PST)
- [sv-cc] Re: [sv-ac] information on SV-AC items and efforts (Sat Feb 16 2008 - 15:46:25 PST)
- [sv-cc] Mantis item 1702 has some updates that may affect sv-cc (Thu Jan 24 2008 - 17:45:33 PST)
- [sv-cc] Mantis item 2037 has some updates that may affect sv-cc (Thu Jan 24 2008 - 17:37:06 PST)
- [sv-cc] Mantis item 1682 makes some updates to callbacks (Thu Jan 24 2008 - 17:31:46 PST)
- [sv-cc] Mantis item 1648 contains some VPI diagram updates (Wed Jan 23 2008 - 18:11:06 PST)
- [sv-cc] Technical Committee schedule updates from Working Group (Sat Dec 22 2007 - 19:51:46 PST)
- [sv-cc] FW: [sv-ac] some pointers for writing and reviewing proposals (Tue Oct 02 2007 - 17:43:24 PDT)
- Re: [sv-cc] SV-CC Meeting Minutes for 09/12/2007 (Fri Sep 14 2007 - 17:14:13 PDT)
- [sv-cc] Please add to sv-cc agenda for 08/15/2007 (Tue Aug 14 2007 - 18:05:31 PDT)
- [sv-cc] Mantis outages - now resolved (Tue Jul 24 2007 - 11:24:20 PDT)
- [sv-cc] Mantis went down this morning and is now back up (Wed Jul 18 2007 - 14:53:51 PDT)
- Re: [sv-cc] What's up with the svdb (Mantis site) ? (Wed Jul 18 2007 - 13:27:55 PDT)
- Re: [sv-cc] 'Scalar' term for SV function results, etc. (Mon Jun 04 2007 - 17:36:55 PDT)
- [sv-cc] Operating Guidelines - have been updated (Fri May 25 2007 - 18:55:22 PDT)
- [sv-cc] Action required on the merged LRM - draft3 (Wed May 09 2007 - 18:10:43 PDT)
- [sv-cc] Re: [sv-ec] 890 scheduling algorithm questions (Mon Apr 30 2007 - 16:42:54 PDT)
- Re: FW: [sv-ec] RE: [sv-cc] PDF version of clean Scheduling Proposal (Mon Apr 16 2007 - 10:38:31 PDT)
- [sv-cc] Mantis item 890 is about to be voted on (Mon Mar 05 2007 - 18:00:18 PST)
- [sv-cc] initial values in VCD (Thu Mar 01 2007 - 18:14:06 PST)
- [sv-cc] Agenda: SV-XC committee meeting (Dec 20, 2006) (Tue Dec 19 2006 - 09:54:41 PST)
- Peter Flake
- Radoslaw Nawrot
- Rados³aw Nawrot
- Raghuraman R
- Ralph Duncan
- Rich, Dave
- Rohit Rana
- Sachchidananda Patel
- Saha, Arnab
- Samik Sengupta
- Sarkar, Ambar
- Scott, George
- Seligman, Erik
- Shalom Bresticker
- Shalom.Bresticker@freescale.com
- Shalom.Bresticker_at_.....
- Shields, John
- Simon Davidmann
- Slater Rob-R53680
- Srouji, Johny
- Stefen Boyd
- Steve Grout
- Steve Grout Majordomo
- Steven J. Dovich
- Steven Sharp
- Stickley, John
- Re: [sv-cc] C Macros For C++ Virtual Function access (Wed Oct 06 2004 - 18:03:05 PDT)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting - 10-22-03 (Wed Dec 03 2003 - 10:13:58 PST)
- Re: [sv-cc] Calling Verilog tasks from C and disable behaviour (Wed Oct 29 2003 - 07:19:43 PST)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting - 10-22-03 (Wed Oct 22 2003 - 10:16:20 PDT)
- Re: [sv-cc] Disables and DPI interaction (Mon Oct 20 2003 - 08:10:42 PDT)
- [sv-cc] still problems with include files (Wed Apr 23 2003 - 17:14:08 PDT)
- [sv-cc] Modified "perspectives from a user" examples (Tue Apr 08 2003 - 09:12:39 PDT)
- [sv-cc] Re: Modified "perspectives from a user" examples (Wed Apr 02 2003 - 11:43:08 PST)
- [sv-cc] Modified "perspectives from a user" examples (Wed Apr 02 2003 - 11:34:55 PST)
- Re: [sv-cc] Perspectives from a "user" of the SV DPI C-layer (Tue Apr 01 2003 - 17:01:59 PST)
- Re: [sv-cc] Perspectives from a "user" of the SV DPI C-layer (Tue Apr 01 2003 - 16:05:05 PST)
- Re: [sv-cc] Perspectives from a "user" of the SV DPI C-layer (Tue Apr 01 2003 - 15:10:14 PST)
- [sv-cc] Perspectives from a "user" of the SV DPI C-layer (Tue Apr 01 2003 - 10:05:21 PST)
- [sv-cc] Re: missing svPutPartSelectBit() - and other oversights (Mon Mar 31 2003 - 11:45:20 PST)
- Re: [sv-cc] Feedback on revision 0.8 (Fri Mar 28 2003 - 09:58:49 PST)
- [sv-cc] Feedback on revision 0.8 (Fri Mar 28 2003 - 08:56:02 PST)
- Re: [sv-cc] open issue: exported function = virtual function? (Wed Mar 26 2003 - 14:09:16 PST)
- [sv-cc] Feedback on revision 0.7 Section 1 - Direct Programming Interface (DPI) (Wed Mar 26 2003 - 13:49:14 PST)
- Re: [sv-cc] Re-proposed user data API (Wed Mar 26 2003 - 12:13:00 PST)
- Re: [sv-cc] LRM modifications for svGet/PutUserData proposal (Tue Mar 25 2003 - 22:21:52 PST)
- Re: [sv-cc] LRM modifications for svGet/PutUserData proposal (Tue Mar 25 2003 - 22:11:02 PST)
- [sv-cc] LRM modifications for svGet/PutUserData proposal (Tue Mar 25 2003 - 14:07:11 PST)
- Re: [sv-cc] Date: Mon, 24 Mar 2003 13:01:02 -0500 (Tue Mar 25 2003 - 08:10:03 PST)
- Re: [sv-cc] Oops - a possible problem with 2nd get/set user data proposal (Mon Mar 24 2003 - 11:41:00 PST)
- Re: [sv-cc] Date: Mon, 24 Mar 2003 13:01:02 -0500 (Mon Mar 24 2003 - 11:24:42 PST)
- Re: [sv-cc] Modified Get/Put User Data Proposal - function vs. mo dule scope (Mon Mar 24 2003 - 09:07:04 PST)
- Re: [sv-cc] Modified Get/Put User Data Proposal - function vs. mo dule scope (Fri Mar 21 2003 - 10:32:18 PST)
- Re: [sv-cc] Re: Modified Get/Put ... - svGetScopeFromName()/svPut UserData() o verhead+restrictions (Wed Mar 19 2003 - 13:15:59 PST)
- Re: [sv-cc] Updated LRM - functions for bit type part-selects (Wed Mar 19 2003 - 11:07:11 PST)
- [sv-cc] Re: Modified Get/Put ... - svGetScopeFromName()/svPutUserData() o verhead+restrictions (Wed Mar 19 2003 - 10:37:37 PST)
- Re: [sv-cc] Updated LRM (Wed Mar 19 2003 - 09:56:39 PST)
- Re: [sv-cc] Updated LRM (Wed Mar 19 2003 - 09:34:57 PST)
- [sv-cc] Re: Modified Get/Put ... - svGetScopeFromName()/svPutUserData() o verhead, restrictions (Wed Mar 19 2003 - 09:16:23 PST)
- Re: [sv-cc] Modified Get/Put User Data Proposal (Wed Mar 19 2003 - 08:26:59 PST)
- Re: [sv-cc] svPut/GetUserData() (Tue Mar 18 2003 - 20:12:21 PST)
- [sv-cc] Modified Get/Put User Data Proposal (Tue Mar 18 2003 - 19:51:15 PST)
- RE: [sv-cc] David's update to our extern/export proposal (Tue Mar 18 2003 - 11:52:39 PST)
- Re: [sv-cc] Updated LRM (Tue Mar 18 2003 - 11:27:37 PST)
- Re: [sv-cc] Meeting reminder - 03/18/03 (Tue Mar 18 2003 - 06:00:37 PST)
- [sv-cc] Re: nitpick with svGetPartSelectBit() - svGetBits() ? (Mon Mar 17 2003 - 11:36:48 PST)
- [sv-cc] reminder: updated svc.h, svc_src.h needed (Sat Mar 15 2003 - 07:52:44 PST)
- [sv-cc] nitpick with svGetPartSelectBit() (Fri Mar 14 2003 - 16:10:06 PST)
- Re: [sv-cc] DPI context - setting/preserving&resetting a scope (Fri Mar 14 2003 - 14:49:41 PST)
- Re: [sv-cc] RE: Version 2 of DPI LRM (Fri Mar 14 2003 - 14:15:32 PST)
- Re: [sv-cc] FW: [sv-bc] External Functions and Tasks proposal (Fri Mar 14 2003 - 14:13:42 PST)
- Re: [sv-cc] DPI context - setting/preserving&resetting a scope (Fri Mar 14 2003 - 14:10:56 PST)
- Re: [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer (Thu Mar 13 2003 - 14:16:30 PST)
- Re: [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer (Thu Mar 13 2003 - 11:13:02 PST)
- [sv-cc] svPut/GetUserData() (Wed Mar 12 2003 - 15:28:20 PST)
- Re: [sv-cc] Updated extern/exports proposal (Wed Mar 12 2003 - 15:00:23 PST)
- Re: [sv-cc] Updated extern/exports proposal (Wed Mar 12 2003 - 14:54:57 PST)
- Re: [sv-cc] Updated extern/exports proposal (Wed Mar 12 2003 - 14:36:16 PST)
- Re: [sv-cc] RE: Version 2 of DPI LRM (Wed Mar 12 2003 - 14:22:48 PST)
- [sv-cc] Re: Version 2 of DPI LRM (Tue Mar 11 2003 - 17:22:14 PST)
- Re: [sv-cc] [Fwd: Re: Version 2 of DPI LRM] (Tue Mar 11 2003 - 17:17:13 PST)
- [sv-cc] instance specific exports (Tue Mar 11 2003 - 10:16:09 PST)
- Re: [sv-cc] Polls on extern/export and representation of SV data types (Tue Mar 11 2003 - 08:51:27 PST)
- Re: [sv-cc] Polls on extern/export and representation of SV data (Tue Mar 11 2003 - 08:37:10 PST)
- [sv-cc] [Fwd: Re: Version 2 of DPI LRM] (Tue Mar 11 2003 - 08:30:34 PST)
- [sv-cc] Re: Version 2 of DPI LRM (Mon Mar 10 2003 - 13:55:52 PST)
- Re: [sv-cc] LRM edits for "the gnarly thread" (Mon Mar 10 2003 - 12:01:56 PST)
- Re: [sv-cc] Polls on extern/export and representation of SV data types (Mon Mar 10 2003 - 11:38:21 PST)
- Re: [sv-cc] Polls on extern/export and representation of SV data types (Mon Mar 10 2003 - 11:31:44 PST)
- Re: [sv-cc] Polls on extern/export and representation of SV data types (Mon Mar 10 2003 - 11:12:53 PST)
- Re: [sv-cc] Polls on extern/export and representation of SV data types (Fri Mar 07 2003 - 15:24:50 PST)
- Re: [sv-cc] Updated extern/export proposal (Fri Mar 07 2003 - 14:25:22 PST)
- Re: [sv-cc] more about import/export (Fri Mar 07 2003 - 07:12:31 PST)
- Re: [sv-cc] more about import/export (Thu Mar 06 2003 - 17:22:16 PST)
- Re: [sv-cc] revised .fm: c_layer_v1.fm, sv_layer_v1.fm, include_files_v1.fm (Wed Mar 05 2003 - 21:35:32 PST)
- Re: [sv-cc] DATE tutorial presentation (Wed Mar 05 2003 - 16:38:20 PST)
- Re: [sv-cc] DATE tutorial presentation (Wed Mar 05 2003 - 16:18:05 PST)
- Re: [sv-cc] more about import/export (Wed Mar 05 2003 - 16:16:30 PST)
- Re: [sv-cc] more about import/export (Wed Mar 05 2003 - 15:51:27 PST)
- [sv-cc] How do I call a specific instance of an exported function ? (Thu Feb 27 2003 - 16:16:07 PST)
- Re: [sv-cc] My vote on issue 1.9 (Thu Feb 27 2003 - 11:40:19 PST)
- Re: [sv-cc] Informal poll on constant naming convention (Thu Feb 27 2003 - 08:08:21 PST)
- [sv-cc] SV Layer Feedback (Wed Feb 26 2003 - 15:30:34 PST)
- Re: Poll on issues 1.7, 1.11 (Mon Jan 20 2003 - 15:58:05 PST)
- Re: Polls on (1.7, 1.11) and 2.1 (Wed Jan 15 2003 - 14:08:11 PST)
- Re: Vote on Kevin's proposal (Fri Jan 03 2003 - 12:31:47 PST)
- Re: Vote on Kevin's proposal (Fri Jan 03 2003 - 12:19:32 PST)
- Re: Vote on Kevin's proposal (Thu Jan 02 2003 - 13:44:13 PST)
- Re: Vote on Kevin's proposal (Sun Dec 22 2002 - 11:46:47 PST)
- Re: Proposal Update (Tue Dec 17 2002 - 14:51:30 PST)
- Re: Proposal Update (Tue Dec 17 2002 - 12:06:44 PST)
- Re: DirectC: C layer (Tue Dec 17 2002 - 11:10:30 PST)
- Re: DirectC: C layer - call by value vs. reference (Tue Dec 17 2002 - 10:52:06 PST)
- Re: DirectC: C layer (Fri Dec 13 2002 - 17:11:55 PST)
- Re: DirectC: C layer (Fri Dec 13 2002 - 17:07:42 PST)
- Re: DirectC: C layer - abstract vs direct (Fri Dec 13 2002 - 17:03:49 PST)
- Re: DirectC: C layer (Fri Dec 13 2002 - 17:02:22 PST)
- feedback for Kevin C proposal (Mon Dec 09 2002 - 10:51:00 PST)
- SV-CC Proposal revision 7 (Mon Dec 09 2002 - 10:44:10 PST)
- Re: Poll on ISSUE 1.1 (Tue Dec 03 2002 - 08:34:00 PST)
- Re: Issue 1.1 - your proposal (Wed Nov 27 2002 - 17:55:42 PST)
- Re: Extended (dynamic) linking (Wed Nov 27 2002 - 17:50:08 PST)
- Re: Poll on ISSUE 1.7 (Wed Nov 27 2002 - 14:50:42 PST)
- Re: Context Sensitive Function Calls - more constrains (Wed Nov 27 2002 - 13:48:02 PST)
- ISSUE #?? Proposal: "queueable" attribute for functions (Tue Nov 26 2002 - 08:20:11 PST)
- Re: More on ISSUE 1.7, More on Context Sensitivity Proposals (Tue Nov 26 2002 - 08:19:08 PST)
- Re: More on ISSUE 1.7, More on Context Sensitivity Proposals (Mon Nov 25 2002 - 21:30:11 PST)
- Voting on ISSUE 1.6 - My Ballot (Fri Nov 22 2002 - 15:50:44 PST)
- Re: Modified Proposal ... - modified syntax for "export" (Fri Nov 22 2002 - 10:09:35 PST)
- Re: Modified Proposal ... - modified syntax for "export" (Fri Nov 22 2002 - 09:36:19 PST)
- Re: More on ISSUE 1.7 (Thu Nov 21 2002 - 16:25:03 PST)
- Re: Modified Proposal for Context Sensitive Function Calls (Wed Nov 20 2002 - 11:29:27 PST)
- Re: Modified Proposal for Context Sensitive Function Calls (Wed Nov 20 2002 - 10:38:59 PST)
- Re: Modified Proposal ... - modified syntax for "export" (Wed Nov 20 2002 - 08:58:37 PST)
- Re: Modified Proposal for Context Sensitive Function Calls (Wed Nov 20 2002 - 08:09:35 PST)
- Take 3: Modified Proposal for Context Sensitive Function Calls (Wed Nov 20 2002 - 06:44:21 PST)
- Re: Modified Proposal for Context Sensitive Function Calls (Tue Nov 19 2002 - 18:36:52 PST)
- Re: Modified Proposal for Context Sensitive Function Calls (Tue Nov 19 2002 - 14:58:16 PST)
- Re: Modified Proposal for Context Sensitive Function Calls (Tue Nov 19 2002 - 08:20:41 PST)
- Modified Proposal for Context Sensitive Function Calls (Mon Nov 18 2002 - 20:18:39 PST)
- Presentation for Face-to-Face (Thu Nov 07 2002 - 13:17:47 PST)
- RE: phone conf. access for face to face (Thu Nov 07 2002 - 09:20:05 PST)
- phone conf. access for face to face (Thu Nov 07 2002 - 09:07:28 PST)
- Re: DirectC: external modules vs. external/exported tasks (Thu Nov 07 2002 - 07:06:30 PST)
- Re: DirectC: external modules vs. external/exported tasks (Wed Nov 06 2002 - 18:08:51 PST)
- Re: ISSUE:DirectC:Should use a common and unique prefix for allfunctions (Wed Oct 30 2002 - 10:21:19 PST)
- SV-CC Meeting Minutes - 10-29-02 (Tue Oct 29 2002 - 15:18:14 PST)
- PROPOSAL: Re: ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC (Fri Oct 25 2002 - 08:53:27 PDT)
- Re: ISSUE:DirectC:DirectC i/f should support mechanismforcalling Verilog task/function from a DirectC application (Thu Oct 24 2002 - 16:13:32 PDT)
- Re: ISSUE:DirectC:DirectC i/f should support mechanismforcalling Verilog task/function from a DirectC application (Wed Oct 23 2002 - 12:34:32 PDT)
- Re: ISSUE:DirectC:DirectC i/f should support mechanism forcalling Verilog task/function from a DirectC application (Wed Oct 23 2002 - 10:35:31 PDT)
- Re: ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC application (Wed Oct 23 2002 - 09:40:19 PDT)
- Re: SV APIs (Assertion) (Thu Oct 03 2002 - 12:56:54 PDT)
- Re: SV APIs (Assertion) (Thu Oct 03 2002 - 12:46:45 PDT)
- Stuart Sutherland
- Stuart Swan
- Swapnajit Mittra
- [sv-cc] [Fwd:--== FDL 2005 - Call for participation ==--] (Thu Jun 30 2005 - 08:19:11 PDT)
- [sv-cc] Accellera SV-CC goes into official hibernation (Thu Aug 19 2004 - 08:25:57 PDT)
- [sv-cc] Message from Karen (Wed Aug 18 2004 - 21:57:28 PDT)
- Re: [sv-cc] Meeting today: agenda (Wed Aug 18 2004 - 07:12:34 PDT)
- [sv-cc] (text version) Minutes of the SystemVerilog errata meeting August 6, 2 004 (Mon Aug 09 2004 - 10:38:20 PDT)
- Re: [sv-cc] Please mention your name while filing a bug (Tue Jul 27 2004 - 14:20:45 PDT)
- [sv-cc] No meeting tomorrow (07/26), but there will be one on 08/04/04 (Tue Jul 27 2004 - 14:17:34 PDT)
- [sv-cc] Please mention your name while filing a bug (Thu Jul 22 2004 - 15:29:51 PDT)
- [sv-cc] Bug# 7 (Wed Jul 21 2004 - 10:51:20 PDT)
- [sv-cc] Meeting minutes - 07/21/04 (Wed Jul 21 2004 - 10:49:12 PDT)
- Re: [sv-cc] Meeting reminder - 07/21/04 (Wed Jul 21 2004 - 09:14:30 PDT)
- Re: [sv-cc] Meeting reminder - 07/21/04 (Wed Jul 21 2004 - 09:07:49 PDT)
- [sv-cc] Meeting reminder - 07/21/04 (Tue Jul 20 2004 - 17:20:12 PDT)
- Re: [sv-cc] Updated errata spreadsheet (7/8/2004) (Sun Jul 18 2004 - 08:12:09 PDT)
- [sv-cc] Tomorrow's meeting canceled - please read (Tue Jul 13 2004 - 17:07:35 PDT)
- RE: [sv-cc] RE: Current SV-CC Errata? (Fri Jul 09 2004 - 07:45:37 PDT)
- RE: [sv-cc] RE: Current SV-CC Errata? (Wed Jul 07 2004 - 07:25:51 PDT)
- [sv-cc] RE: Current SV-CC Errata? (Tue Jul 06 2004 - 19:13:44 PDT)
- [sv-cc] AI on errata list: Joao (Thu Jun 17 2004 - 11:16:01 PDT)
- [sv-cc] No meeting today (06/02) and some announcements (Wed Jun 02 2004 - 10:00:04 PDT)
- RE: [sv-cc] vpi_create (Thu May 27 2004 - 09:13:28 PDT)
- [sv-cc] No meeting tomorrow (05/26), AI for Joao (Tue May 25 2004 - 13:40:42 PDT)
- [sv-cc] No meeting tomorrow (05/12); AI for Joao (Tue May 11 2004 - 15:41:22 PDT)
- [sv-cc] Fw: Accellera Technical Excellence Award - Call for Nominations (Fri May 07 2004 - 09:19:12 PDT)
- Re: [sv-cc] Errata: vpiFuncType (Thu May 06 2004 - 12:06:06 PDT)
- [sv-cc] No meeting tomorrow (05/05) (Tue May 04 2004 - 16:46:39 PDT)
- [sv-cc] Re: Congratulation TEAM - SystemVerilog 3.1A Approved By Accellera Boa rd (Thu Apr 29 2004 - 11:03:46 PDT)
- [sv-cc] No meeting tomorrow (04/28) (Tue Apr 27 2004 - 17:44:38 PDT)
- [sv-cc] Special Meeting reminder - 04/23/04 (Thu Apr 22 2004 - 16:01:11 PDT)
- [sv-cc] What happened to the meeting or Swapnajit or both? (Wed Apr 21 2004 - 12:09:59 PDT)
- [sv-cc] Meeting reminder - 04/21/2004 (Tue Apr 20 2004 - 18:02:25 PDT)
- [sv-cc] Meeting reminder 04/14/04 (Tue Apr 13 2004 - 15:46:02 PDT)
- [sv-cc] sv-cc errata (Tue Apr 13 2004 - 15:42:37 PDT)
- [sv-cc] No meeting today (04/07) (Wed Apr 07 2004 - 07:16:41 PDT)
- [sv-cc] No meeting tomorrow (03/31) (Tue Mar 30 2004 - 17:54:19 PST)
- [sv-cc] Mail freom Charles Dawson <chas@cadence.com> (Thu Mar 25 2004 - 14:55:04 PST)
- [sv-cc] No meeting tomorrow (03/24) and ... (Tue Mar 23 2004 - 20:47:10 PST)
- Re: [sv-cc] Suggest LRM warning: lack of certain type equivalences (Fri Mar 19 2004 - 20:01:10 PST)
- Re: [sv-cc] Suggest LRM warning: lack of certain type equivalences (Thu Mar 18 2004 - 14:16:29 PST)
- [sv-cc] Meeting reminder - 03/17 (Tue Mar 16 2004 - 23:05:28 PST)
- [sv-cc] Documentation updates (Wed Mar 10 2004 - 13:01:08 PST)
- [sv-cc] Ballot for Final Approval Vote (Wed Mar 10 2004 - 11:56:02 PST)
- [sv-cc] No meeting tomorrow AND VOTING STARTS (Tue Mar 09 2004 - 20:47:25 PST)
- [sv-cc] Test - We have new hardware for eda.org (Mon Mar 08 2004 - 15:05:48 PST)
- [sv-cc] No meeting tomorrow (Tue Mar 02 2004 - 20:44:51 PST)
- [sv-cc] Draft 5 Changes Review Request: Part-Select (Wed Feb 25 2004 - 10:52:52 PST)
- Re: [sv-cc] Meeting reminder 02/25 (Wed Feb 25 2004 - 10:50:38 PST)
- [sv-cc] Meeting reminder 02/25 (Wed Feb 25 2004 - 08:49:15 PST)
- Re: [sv-cc] RE: [sv-ec] Draft 5 Changes Review Request (Tue Feb 24 2004 - 15:07:03 PST)
- Re: [sv-cc] Draft 5 Changes Review Request (Thu Feb 19 2004 - 21:52:12 PST)
- [sv-cc] No meeting tomorrow - 02/18 (Tue Feb 17 2004 - 17:07:23 PST)
- [sv-cc] Fw: Last Call for Papers - EDP 2004 - Design Process Workshop (Fri Feb 13 2004 - 18:30:11 PST)
- RE: [sv-cc] Meeting reminder - 02/11 (Wed Feb 11 2004 - 09:33:46 PST)
- [sv-cc] Meeting reminder - 02/11 (Tue Feb 10 2004 - 20:47:53 PST)
- [sv-cc] SV 3.1A adjusted deadlines (Mon Feb 09 2004 - 15:27:02 PST)
- [sv-cc] SV-CC Index/Glossary for SV3.1A (Thu Feb 05 2004 - 18:11:17 PST)
- Re: [sv-cc] No meeting tomorrow - please read (Thu Feb 05 2004 - 18:05:15 PST)
- [sv-cc] No meeting tomorrow - please read (Tue Feb 03 2004 - 20:55:20 PST)
- RE: [sv-cc] partitioning of the review (Fri Jan 30 2004 - 10:55:56 PST)
- RE: [sv-cc] partitioning of the review (Thu Jan 29 2004 - 14:19:06 PST)
- [sv-cc] Meeting reminder 01/28/04 (Tue Jan 27 2004 - 23:09:43 PST)
- [sv-cc] Fw: RE: Acknowledgements (Tue Jan 27 2004 - 09:30:25 PST)
- Re: [sv-cc] vpi_diagrams-1-23-2004.pdf (Fri Jan 23 2004 - 16:47:27 PST)
- Fw: RE: [sv-cc] assertion erratas: 2 minor issues (Thu Jan 22 2004 - 13:42:58 PST)
- Re: [sv-cc] ANN: Assertion Errata poll and VPI Ext vote (Thu Jan 22 2004 - 12:26:05 PST)
- [sv-cc] Next meeting (Thu Jan 22 2004 - 08:20:45 PST)
- RE: [sv-cc] assertion erratas: 2 minor issues (Wed Jan 21 2004 - 12:26:02 PST)
- [sv-cc] Meeting reminder - 01/21/04 (Tue Jan 20 2004 - 23:39:13 PST)
- [sv-cc] Special Meeting reminder - 01/20/04 (Mon Jan 19 2004 - 20:21:57 PST)
- Re: [sv-cc] Reader VPI LRM version (Wed Jan 14 2004 - 21:44:52 PST)
- [sv-cc] ANN: Assertion Errata poll and VPI Ext vote (Wed Jan 14 2004 - 14:09:01 PST)
- RE: [sv-cc] URGENT: Next Meeting Planned for Monday 1/19 (Wed Jan 14 2004 - 13:55:24 PST)
- Re: [sv-cc] URGENT: Next Meeting Planned for Monday 1/19 (Wed Jan 14 2004 - 13:37:31 PST)
- [sv-cc] Meeting Reminder 01/13/04 (Tue Jan 13 2004 - 23:09:06 PST)
- [sv-cc] Special meeting reminder - 01/12/04 (Sun Jan 11 2004 - 09:13:16 PST)
- Re: [sv-cc] ANN: Vote on the Reader VPI (Sun Jan 11 2004 - 09:03:01 PST)
- [sv-cc] Meeting reminder 01/07 (Tue Jan 06 2004 - 17:31:42 PST)
- [sv-cc] ANN: Vote on the Reader VPI (Mon Jan 05 2004 - 11:10:25 PST)
- [sv-cc] Reminder to review the VPI ext and the Reader donations (Mon Dec 29 2003 - 11:03:44 PST)
- RE: [sv-cc] Assertion API errata (Thu Dec 25 2003 - 19:30:34 PST)
- Re: [sv-cc] Assertion API errata (Tue Dec 23 2003 - 21:49:36 PST)
- [sv-cc] Re: DPI Exported Task: suggested corrections (Fri Dec 19 2003 - 14:44:02 PST)
- Re: [sv-cc] READ API: a couple of thoughts, a new issue and a question (Thu Dec 18 2003 - 16:55:14 PST)
- RE: [sv-cc] Meeting reminder 12/17 (Tue Dec 16 2003 - 21:01:39 PST)
- [sv-cc] Meeting reminder 12/17 (Tue Dec 16 2003 - 17:32:54 PST)
- Re: [sv-cc] Read API updated version (Sat Dec 13 2003 - 22:29:41 PST)
- [sv-cc] Some thoughts on the tasks ahead, open issues and deadlines (Thu Dec 11 2003 - 15:52:14 PST)
- Re: [sv-cc] ANN: Ballots for 2 polls (Thu Dec 11 2003 - 11:41:19 PST)
- Re: [sv-cc] Assertion errata (Thu Dec 11 2003 - 08:01:50 PST)
- RE: [sv-cc] Assertion errata (Wed Dec 10 2003 - 11:46:19 PST)
- [sv-cc] Meeting reminder 12/10/03 (Tue Dec 09 2003 - 17:40:33 PST)
- [sv-cc] ANN: Ballots for 2 polls (Fri Dec 05 2003 - 16:35:20 PST)
- [sv-cc] Meeting reminder 03/12/03 (Tue Dec 02 2003 - 21:31:14 PST)
- [sv-cc] Meeting reminder 11/26/03 (Tue Nov 25 2003 - 20:42:44 PST)
- [sv-cc] Re: ANN: Two votes on SV 3.1A donations (Thu Nov 20 2003 - 14:30:20 PST)
- [sv-cc] Compiled meeting minutes from 11/12 (SV-CC F2F). (Tue Nov 18 2003 - 23:21:21 PST)
- [sv-cc] Meeting reminder 11/19 (Tue Nov 18 2003 - 18:12:05 PST)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" (Fri Nov 14 2003 - 18:09:05 PST)
- [sv-cc] Comments on proposed VPI for SystemVerilog (Wed Nov 12 2003 - 21:03:43 PST)
- [sv-cc] List of issues Synopsys VPI extension donation presentation - part II (Wed Nov 12 2003 - 20:48:09 PST)
- [sv-cc] ANN: Two votes on SV 3.1A donations (Wed Nov 12 2003 - 15:57:31 PST)
- [sv-cc] Final agenda and meeting reminder for sv-cc face-to-face 11/12 (Tue Nov 11 2003 - 20:15:50 PST)
- [sv-cc] Tentative agenda for sv-cc f2f (Mon Nov 10 2003 - 14:56:13 PST)
- [sv-cc] Meeting reminder 11/05 (Tue Nov 04 2003 - 21:00:45 PST)
- [sv-cc] SV-CC face to face meeting on 11/12 (Thu Oct 30 2003 - 20:05:35 PST)
- [sv-cc] Meeting reminder 10/29/03 (Tue Oct 28 2003 - 21:33:01 PST)
- [sv-cc] Re: Semantics of disable as applied to task/func arguments (Mon Oct 27 2003 - 11:01:35 PST)
- [sv-cc] Re: Semantics of disable as applied to task/func arguments (Fri Oct 24 2003 - 16:38:31 PDT)
- Re: [sv-cc] Semantics of disable as applied to task/func arguments (Fri Oct 24 2003 - 12:37:11 PDT)
- [sv-cc] Re: Semantics of disable as applied to task/func arguments (Fri Oct 24 2003 - 12:33:44 PDT)
- Re: [sv-cc] Semantics of disable as applied to task/func arguments (Fri Oct 24 2003 - 09:13:57 PDT)
- [sv-cc] ANN: Acceptance vote on Novas VCD donation (Thu Oct 23 2003 - 16:56:07 PDT)
- [sv-cc] Meeting reminder 10/22 (Tue Oct 21 2003 - 22:37:51 PDT)
- [sv-cc] sv-cc Erratum (Mon Oct 20 2003 - 16:07:02 PDT)
- Re: [sv-cc] Vote/Poll/Review announcement (Mon Oct 20 2003 - 16:00:48 PDT)
- RE: [sv-cc] Vote/Poll/Review announcement (Fri Oct 17 2003 - 22:01:26 PDT)
- Re: [sv-cc] Vote/Poll/Review announcement (Fri Oct 17 2003 - 14:01:11 PDT)
- Re: [sv-cc] Disables and DPI interaction (Fri Oct 17 2003 - 13:55:16 PDT)
- [sv-cc] Meeting reminder 10/15 (Tue Oct 14 2003 - 22:19:03 PDT)
- [sv-cc] Vote/Poll/Review announcement (Mon Oct 13 2003 - 11:45:45 PDT)
- [sv-cc] Meeting reminder 10/08 (Tue Oct 07 2003 - 19:43:00 PDT)
- RE: [sv-cc] Novas donation on VCD (Mon Oct 06 2003 - 09:47:52 PDT)
- [sv-cc] Novas donation on VCD (Fri Oct 03 2003 - 18:48:46 PDT)
- [sv-cc] Meeting reminder 10/1 (Tue Sep 30 2003 - 23:20:38 PDT)
- [sv-cc] SV-CC Meeting Minutes for September 24, 2003 (Fri Sep 26 2003 - 09:07:30 PDT)
- [sv-cc] Action items from 09/24 (Thu Sep 25 2003 - 08:41:05 PDT)
- [sv-cc] Meeting reminder 09/24 (Tue Sep 23 2003 - 21:37:26 PDT)
- [sv-cc] No meetings on 09/10 and 09/17 (Tue Sep 09 2003 - 22:17:23 PDT)
- Re: [sv-cc] SystemVerilog 3.1A Meeting on September 18th (Tue Sep 09 2003 - 07:56:55 PDT)
- [sv-cc] Re: Poll on errata for open arrays (Sun Sep 07 2003 - 16:03:42 PDT)
- Re: [sv-cc] No meeting tomorrow (09/03) and some comments (Wed Sep 03 2003 - 09:02:13 PDT)
- [sv-cc] No meeting tomorrow (09/03) and some comments (Tue Sep 02 2003 - 21:15:48 PDT)
- Re: [sv-cc] So long for now (Tue Sep 02 2003 - 21:02:39 PDT)
- [sv-cc] Poll on errata for open arrays (Thu Aug 28 2003 - 17:28:04 PDT)
- [sv-cc] No meeting tomorrow (08/27) (Tue Aug 26 2003 - 16:38:08 PDT)
- [sv-cc] Meeting reminder - 08/20/03 (Tue Aug 19 2003 - 21:23:14 PDT)
- [sv-cc] No meeting tomorrow (08/13) (Tue Aug 12 2003 - 15:41:58 PDT)
- Re: [sv-cc] Vote and polls on 3 issues (Sat Aug 09 2003 - 08:39:57 PDT)
- [sv-cc] Meeting reminder 08/06 (Tue Aug 05 2003 - 18:39:32 PDT)
- [sv-cc] Mail from ["Clifford E. Cummings" <cliffc@sunburst-design.com>] (Tue Aug 05 2003 - 15:35:37 PDT)
- [sv-cc] Vote and polls on 3 issues (Fri Aug 01 2003 - 12:24:41 PDT)
- RE: [sv-cc] SV-CC Meeting Minutes for July 30, 2003 (Thu Jul 31 2003 - 08:24:25 PDT)
- [sv-cc] Fwd: Submission from ["Duncan, Ralph" <ralph_duncan@mentorg.com>] (Wed Jul 30 2003 - 11:45:15 PDT)
- [sv-cc] Meeting reminder 07/30/03 (Tue Jul 29 2003 - 17:07:50 PDT)
- [sv-cc] Meeting reminder 07/22/03 (Tue Jul 22 2003 - 17:05:41 PDT)
- [sv-cc] List of champions of SV committees (Fri Jul 18 2003 - 09:33:30 PDT)
- [sv-cc] Question from ["Edelman, Rich" <rich_edelman@mentorg.com>] (Wed Jul 16 2003 - 18:10:55 PDT)
- RE: [sv-cc] Website update (Wed Jul 16 2003 - 18:06:44 PDT)
- RE: [sv-cc] Meeting minutes, July 16, 2003 (Wed Jul 16 2003 - 14:26:20 PDT)
- [sv-cc] Website update (Wed Jul 16 2003 - 12:11:07 PDT)
- [sv-cc] SV3.1A issues for sv-cc from SV3.1 (Tue Jul 15 2003 - 23:24:47 PDT)
- [sv-cc] Meeting reminder 07/16/03 (Tue Jul 15 2003 - 23:20:31 PDT)
- [sv-cc] Meeting reminder - 07/09/03 (Tue Jul 08 2003 - 23:08:13 PDT)
- [sv-cc] Re: Are we meeting today at the usual time? (Tue Jul 08 2003 - 12:35:36 PDT)
- Re: [sv-cc] SV-CC meeting re-starting on 07/02/03 (Tue Jul 01 2003 - 11:48:33 PDT)
- [sv-cc] SV-CC meeting re-starting on 07/02/03 (Mon Jun 30 2003 - 22:23:13 PDT)
- [sv-cc] No meeting until end of this month (Mon Jun 23 2003 - 22:35:29 PDT)
- [sv-cc] No meeting tomorrow (06/17) (Mon Jun 16 2003 - 23:33:35 PDT)
- [sv-cc] No meeting tomorrow (06/03) (Mon Jun 02 2003 - 22:20:22 PDT)
- Re: [sv-cc] SV 3.1 LRM Table 11-1: chandle and garbage collection (Wed May 21 2003 - 22:20:47 PDT)
- Re: [sv-cc] RE: SV 3.1 draft 6: additional urgent sv-cc related correc tions (Tue May 20 2003 - 21:24:28 PDT)
- Re: [sv-cc] More SV draft6 LRM problems (Tue May 20 2003 - 09:19:15 PDT)
- [sv-cc] No meeting tomorrow (05/20) (Mon May 19 2003 - 22:56:05 PDT)
- [sv-cc] No SV-CC Meeting on 05/06 and future meeting schedule (Tue May 06 2003 - 01:15:33 PDT)
- [sv-cc] Cross-committee issues for 3.2 from SV-CC (Tue May 06 2003 - 00:50:51 PDT)
- [sv-cc] No meeting tomorrow (04/29) (Mon Apr 28 2003 - 18:47:56 PDT)
- [sv-cc] Fw: SV-CC LRM voting status (Fri Apr 25 2003 - 10:37:45 PDT)
- [sv-cc] Fw: SV-CC LRM voting status (Fri Apr 25 2003 - 10:37:45 PDT)
- [sv-cc] SystemVerilog 3.1 draft 6 available for review (Thu Apr 24 2003 - 12:25:26 PDT)
- [sv-cc] Re: FW: Invitation to the SV-CC LRM Voting process (Wed Apr 23 2003 - 12:33:38 PDT)
- [sv-cc] Meeting reminder: 04/22/03 (Tue Apr 22 2003 - 00:11:38 PDT)
- Re: [sv-cc] Corrected Draft 5 is now available (Mon Apr 21 2003 - 12:44:17 PDT)
- [sv-cc] Corrected Draft 5 is now available (Fri Apr 18 2003 - 15:46:18 PDT)
- Re:[sv-cc] open or dynamic arrays (Tue Apr 15 2003 - 14:14:39 PDT)
- [sv-cc] No meeting today... (Tue Apr 15 2003 - 00:27:29 PDT)
- Re:RE: [sv-cc] Final call for SV-CC LRM editing comments (Fri Apr 11 2003 - 15:56:49 PDT)
- [sv-cc] Final call for SV-CC LRM editing comments (Fri Apr 11 2003 - 12:22:12 PDT)
- [sv-cc] Meeting reminder - 04/08/03 (Mon Apr 07 2003 - 22:57:15 PDT)
- Re: [sv-cc] LRM Review Issues (IMPORTANT) (Fri Apr 04 2003 - 20:24:15 PST)
- Re:[sv-cc] Issues with Draft 4 LRM (Thu Apr 03 2003 - 17:03:04 PST)
- Re: [sv-cc] Meeting Today Moved to 04/02/03 (Tue Apr 01 2003 - 21:32:56 PST)
- Re: [sv-cc] DPI - supported data types (Tue Apr 01 2003 - 00:06:24 PST)
- [sv-cc] Meeting reminder - 04/01/03 (Mon Mar 31 2003 - 23:57:27 PST)
- [sv-cc] Minutes for SV-CC meeting 03/27/03 (Thu Mar 27 2003 - 11:04:41 PST)
- [sv-cc] Meeting reminder - 03/26/03 (Wed Mar 26 2003 - 23:34:14 PST)
- Re:[sv-cc] SV-CC meeting before Thursday deadline? (Tue Mar 25 2003 - 18:54:18 PST)
- [sv-cc] Meeting reminder 03/25/03 (Tue Mar 25 2003 - 00:32:42 PST)
- [sv-cc] Meeting reminder - 03/18/03 (Mon Mar 17 2003 - 23:33:21 PST)
- [sv-cc] Results of the polls on extern/export and representation of SV data ty pes (Thu Mar 13 2003 - 12:53:33 PST)
- [sv-cc] Mail from Stefen Boyd <stefen@boyd.com> on BNF (Wed Mar 12 2003 - 20:38:28 PST)
- [sv-cc] Meeting reminder - 03/12/03 (Tue Mar 11 2003 - 18:48:23 PST)
- [sv-cc] extern/export - some thoughts (Tue Mar 11 2003 - 18:26:12 PST)
- [sv-cc] Problem of mails getting bounced from the reflector has been solved... (Tue Mar 11 2003 - 18:15:28 PST)
- [sv-cc] Meeting reminder 03/11/03 (Mon Mar 10 2003 - 18:24:46 PST)
- [sv-cc] Polls on extern/export and representation of SV data types (Thu Mar 06 2003 - 16:24:20 PST)
- [sv-cc] Meeting reminder - 03/05/03 (Tue Mar 04 2003 - 23:45:41 PST)
- [sv-cc] Meeting reminder 03/04/03 (Mon Mar 03 2003 - 23:57:40 PST)
- [sv-cc] Minutes from the 28 February 2003 SV face-to-face. (Sun Mar 02 2003 - 23:09:48 PST)
- [sv-cc] Results of the poll on ISSUE 1.9 (Thu Feb 27 2003 - 12:59:40 PST)
- [sv-cc] Mail from Arturo Salz (Thu Feb 27 2003 - 10:22:47 PST)
- Re: [sv-cc] My vote on issue 1.9 (Wed Feb 26 2003 - 20:45:24 PST)
- Re:RE: [sv-cc] Poll on Issue 1.9 (Michael's Inclusion proposal) (Fri Feb 21 2003 - 12:42:02 PST)
- Re:RE: [sv-cc] Poll on Issue 1.9 (Michael's Inclusion proposal) (Wed Feb 19 2003 - 17:55:26 PST)
- [sv-cc] Looking for proposals on DirectC/other names (Tue Feb 18 2003 - 18:00:22 PST)
- [sv-cc] Poll on Issue 1.9 (Michael's Inclusion proposal) (Tue Feb 18 2003 - 17:50:42 PST)
- [sv-cc] Re: Joe's email address (Tue Feb 18 2003 - 12:10:29 PST)
- [sv-cc] DirectC C side pdf (Tue Feb 18 2003 - 10:21:21 PST)
- [sv-cc] Meeting reminder 02/18/03 (Mon Feb 17 2003 - 19:21:50 PST)
- [sv-cc] No meeting tomorrow (02/12) (Tue Feb 11 2003 - 16:32:58 PST)
- [sv-cc] Meeting reminder for 01/11/03 (Mon Feb 10 2003 - 18:40:04 PST)
- Re:[sv-cc] Polls on Coverage VPI and String datatype (Mon Feb 10 2003 - 18:31:08 PST)
- [sv-cc] Polls on Coverage VPI and String datatype (Wed Feb 05 2003 - 13:11:21 PST)
- [sv-cc] Meeting reminder 02/05/03 (Tue Feb 04 2003 - 18:27:19 PST)
- [sv-cc] Meeting reminder 02/04/03 (Mon Feb 03 2003 - 19:15:51 PST)
- [sv-cc] Complete meeting minutes of 01/28/03 (Tue Jan 28 2003 - 19:09:58 PST)
- [sv-cc] Meeting reminder - 01/28/03 (Mon Jan 27 2003 - 19:45:19 PST)
- [sv-cc] Website updates (Mon Jan 27 2003 - 19:38:10 PST)
- [sv-cc] SV-CC 01/23/03 f2f meeting minutes (Sun Jan 26 2003 - 12:23:54 PST)
- [sv-cc] F2F telecon and other logistics info (Thu Jan 23 2003 - 08:08:22 PST)
- [sv-cc] Final agenda for the f2f on 1/23 (Wed Jan 22 2003 - 15:53:14 PST)
- Re: SV-CC F2F Meeting Details - 1/23 - agenda? (Sat Jan 18 2003 - 09:01:51 PST)
- Result of poll on 2.1(Assertion) and reminder on (1.7, 1.11) (Sat Jan 18 2003 - 08:54:27 PST)
- SV 3.1 draft 2 LRM available (Sat Jan 18 2003 - 08:36:32 PST)
- eda.org email reflector problem (Wed Jan 15 2003 - 08:49:49 PST)
- Meeting Reminder 01/15/03 (Wed Jan 15 2003 - 08:26:17 PST)
- Meeting reminder 01/14/03 (Mon Jan 13 2003 - 19:23:40 PST)
- Polls on (1.7, 1.11) and 2.1 (Fri Jan 10 2003 - 19:36:25 PST)
- ISSUE 2.1: Proposal for assertion VPI by Joao (Fri Jan 10 2003 - 19:16:03 PST)
- SV-CC next face to face meeting (Wed Jan 08 2003 - 17:39:56 PST)
- Re:Organizational issues (Wed Jan 08 2003 - 13:12:24 PST)
- Re: SV Committee Requests to SV-EC (Wed Jan 08 2003 - 08:29:26 PST)
- Meeting reminder 01/08/03 (Tue Jan 07 2003 - 19:04:42 PST)
- Meeting reminder 01/07/03 (Mon Jan 06 2003 - 19:15:57 PST)
- Some thoughts going forward ... (Mon Jan 06 2003 - 18:58:19 PST)
- Re: Result of poll on 1.1(b) (Tue Dec 24 2002 - 12:55:53 PST)
- Result of poll on 1.1(b) (Mon Dec 23 2002 - 12:12:45 PST)
- Re: Vote on Kevin's proposal (Mon Dec 23 2002 - 10:07:51 PST)
- Re:Re:Vote on Kevin's proposal (Fri Dec 20 2002 - 16:48:17 PST)
- Re:Vote on Kevin's proposal (Fri Dec 20 2002 - 14:18:57 PST)
- Meeting reminder 12/18 (Tue Dec 17 2002 - 18:34:33 PST)
- Meeting reminder 12/17 (Mon Dec 16 2002 - 19:06:59 PST)
- Fw: RE: Assertion doc for 3.1 (Wed Dec 11 2002 - 00:16:40 PST)
- Meeting reminder 12/10 (Mon Dec 09 2002 - 22:47:32 PST)
- Fw: SV-EC LRM (Tue Dec 03 2002 - 21:54:00 PST)
- Agenda for the face-to-face meeting on 12/3 (Mon Dec 02 2002 - 15:20:17 PST)
- Re:Re: Poll on ISSUE 1.1 (Mon Dec 02 2002 - 13:47:04 PST)
- Re: Attendance list (Sat Nov 30 2002 - 18:53:14 PST)
- Re: SV-CC face-to-face meeting in January - postpone by 1 week? (Fri Nov 29 2002 - 13:28:21 PST)
- Poll on ISSUE 1.1 (Thu Nov 28 2002 - 16:16:19 PST)
- Re: Poll on ISSUE 1.7 (Thu Nov 28 2002 - 16:13:49 PST)
- Re: ISSUE:DirectC:Proposal: const attribute for input params (Tue Nov 26 2002 - 22:04:30 PST)
- Re: ISSUE: DirectC: Proposal: "queueable" attribute for functions (Tue Nov 26 2002 - 08:41:03 PST)
- Results of polling on ISSUE 1.6 (Mon Nov 25 2002 - 22:39:47 PST)
- Fw: RE: Voting on ISSUE 1.6 (Mon Nov 25 2002 - 22:00:49 PST)
- Re: Agenda for the face-to-face meeting on 12/03 (Mon Nov 25 2002 - 21:17:57 PST)
- Meeting reminder 11/26 (Mon Nov 25 2002 - 21:37:42 PST)
- Re: Meeting minutes for the SV-CC Committee, Nov 20th, DRAFT (Mon Nov 25 2002 - 20:17:28 PST)
- Re: Meeting minutes for the SV-CC Committee, Nov 20th, DRAFT (Sat Nov 23 2002 - 11:11:10 PST)
- Agenda for the face-to-face meeting on 12/03 (Sat Nov 23 2002 - 09:57:41 PST)
- Voting on ISSUE 1.6 (Thu Nov 21 2002 - 12:53:25 PST)
- Re: Draft minutes for todays call. (Tue Nov 19 2002 - 20:24:13 PST)
- Meeting reminder 11/19 (Mon Nov 18 2002 - 23:18:33 PST)
- Re: Looking ahead (Mon Nov 18 2002 - 08:22:31 PST)
- Re: Looking ahead (Fri Nov 15 2002 - 10:05:55 PST)
- Re: ISSUE: Direct vs. Abstract function parameter interfaces (Thu Nov 14 2002 - 09:07:01 PST)
- Re: ISSUE: cmodules vs. external "C" tasks (Mon Nov 11 2002 - 23:07:16 PST)
- Meeting reminder for 11/12/02 (Mon Nov 11 2002 - 23:18:19 PST)
- Re: ISSUE:DirectC:Distinguish C and C++ code (Mon Nov 11 2002 - 23:03:54 PST)
- Re: ISSUE:DirectC:How to find C/C++ code ??? (Mon Nov 11 2002 - 23:05:46 PST)
- Some thoughts on ISSUE lifecycle and schedule (Mon Nov 11 2002 - 22:56:32 PST)
- Re: ISSUE:DirectC:Abstract Access Method requires rewrite of code (Mon Nov 11 2002 - 23:02:59 PST)
- Re: upcoming face to face meetings this year and next year (Mon Nov 11 2002 - 22:44:34 PST)
- Agenda for the face-to-face meeting (Wed Nov 06 2002 - 21:33:08 PST)
- Agenda for the face-to-face meeting (Tue Nov 05 2002 - 00:48:24 PST)
- Meeting reminder for 11/05/02 (Mon Nov 04 2002 - 22:22:57 PST)
- Re: ISSUE:DirectC - proposal to be discussed today (Sun Nov 03 2002 - 11:56:38 PST)
- Re: ISSUE:DirectC:Should use a common and unique prefix for all functions (Sun Nov 03 2002 - 11:54:22 PST)
- Re: ISSUE:DirectC:No clear relationship to other API's (Sun Nov 03 2002 - 11:52:45 PST)
- Some topics for discussions in tomorrow's (10/29) meeting (Mon Oct 28 2002 - 22:54:52 PST)
- Re: ISSUE: Coverage -- A1_BT (from Michael's list) (Mon Oct 28 2002 - 20:45:25 PST)
- Re: SSUE:DirectC:Name resolution between a Verilog task and a DirectC exte rnal C function. (Mon Oct 28 2002 - 20:40:13 PST)
- Re: ISSUE:DirectC:A DirectC external C function should override a built-in C function by the same name. (Mon Oct 28 2002 - 20:38:24 PST)
- Re: ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC application (Mon Oct 28 2002 - 20:35:15 PST)
- Re: ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC application (Wed Oct 23 2002 - 01:15:03 PDT)
- Re: ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC application (Wed Oct 23 2002 - 01:13:40 PDT)
- SSUE:DirectC:Name resolution between a Verilog task and a DirectC exte rnal C function. (Sat Oct 19 2002 - 21:52:43 PDT)
- ISSUE:DirectC:A DirectC external C function should override a built-in C function by the same name. (Sat Oct 19 2002 - 21:27:07 PDT)
- ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC application (Sat Oct 19 2002 - 20:52:23 PDT)
- Re: [Fwd: Re: Meeting minutes of 10/01/02] (Sat Oct 19 2002 - 18:34:28 PDT)
- Question on cm_fsm*() (Tue Oct 15 2002 - 17:43:01 PDT)
- Re: Comments on DirectC (Sat Oct 05 2002 - 16:39:19 PDT)
- Re: SV-CC Web Page is on-line .... (Sun Sep 29 2002 - 12:09:39 PDT)
- Comments on DirectC (Tue Sep 24 2002 - 22:15:25 PDT)
- Tapati Basu
- Tarak Parikh
- Thomas Thatcher
- Tipp, Brandon P
- trivedi@pacbell.net
- Vassilios Gerousis
- Vassilios.Gerousis@Infineon.Com
- Vitaly Yankelevich
- Vreugdenhil, Gordon
- Warmke, Doug
- [sv-cc] RE: DPI-OO: enums (Wed Aug 24 2011 - 09:49:15 PDT)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes (Tue Aug 09 2011 - 14:32:28 PDT)
- [sv-cc] New Mantis #3115 added (Fri Jun 11 2010 - 00:31:10 PDT)
- RE: [sv-cc] example code errors in I.12.9 ? (Mon Mar 02 2009 - 00:03:24 PST)
- RE: [sv-cc] example code errors in I.12.9 ? (Sun Mar 01 2009 - 22:09:33 PST)
- [sv-cc] Minor erratum in I.9.2 (Wed Feb 25 2009 - 22:02:21 PST)
- RE: [sv-cc] DPI with ACC? (Thu Feb 12 2009 - 14:35:11 PST)
- RE: [sv-cc] Mantis items against Draft 6 which have not yet been reviewed (Thu Aug 14 2008 - 14:00:41 PDT)
- RE: [sv-bc] RE: [sv-cc] DPI imported task can have dynamic array as formal argument?? (Thu Jan 17 2008 - 10:19:40 PST)
- RE: [sv-bc] RE: [sv-cc] DPI imported task can have dynamic array as formal argument?? (Thu Jan 17 2008 - 10:09:36 PST)
- RE: [sv-cc] Confusion about the multidimensional open array in packed part of the formal argument of imported function. (Thu Jan 17 2008 - 09:28:34 PST)
- RE: [sv-cc] DPI imported task can have dynamic array as formal argument?? (Wed Jan 16 2008 - 22:09:14 PST)
- RE: [sv-cc] RE: [sv-bc] ref can be used as formal argument of exported task/function? (Wed Jan 16 2008 - 08:35:47 PST)
- [sv-cc] RE: [sv-bc] ref can be used as formal argument of exported task/function? (Mon Jan 14 2008 - 09:06:37 PST)
- [sv-cc] RE: [sv-bc] Stu's QUESTIONS and NOTES in Draft 4 (Thu Oct 11 2007 - 12:25:07 PDT)
- [sv-cc] RE: [sv-bc] Stu's QUESTIONS and NOTES in Draft 4 (Thu Oct 11 2007 - 10:09:11 PDT)
- RE: [sv-ac] Re: [sv-ec] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] (Fri Sep 21 2007 - 09:34:44 PDT)
- [sv-cc] RE: [sv-bc] Definition of `s_vpi_vecval' in `svdpi.h' and `vpi_user.h' (Mon Jun 18 2007 - 08:49:04 PDT)
- [sv-cc] RE: [sv-bc] Definition of `s_vpi_vecval' in `svdpi.h' and `vpi_user.h' (Sun Jun 17 2007 - 16:28:28 PDT)
- RE: [sv-cc] Meeting minutes for 04/25/2007 (Wed May 09 2007 - 13:15:08 PDT)
- RE: [sv-cc] Meeting minutes for 04/25/2007 (Wed May 09 2007 - 11:14:46 PDT)
- RE: [sv-cc] [Fwd: Questions on merged DPI clause] (Tue May 08 2007 - 09:30:06 PDT)
- RE: [sv-cc] Meeting minutes for 04/25/2007 (Mon Apr 30 2007 - 09:23:21 PDT)
- RE: [sv-cc] Proposal for DPI rand/randc handling (Wed Jan 17 2007 - 11:23:27 PST)
- RE: [sv-cc] FW: a question about system verilog class deconstructor (Wed Jan 10 2007 - 10:50:16 PST)
- RE: [sv-cc] FW: a question about system verilog class deconstructor (Wed Jan 10 2007 - 10:33:14 PST)
- RE: [sv-cc] FW: a question about system verilog class deconstructor (Wed Jan 10 2007 - 10:05:09 PST)
- RE: [sv-cc] DPI and "rand" qualifers in general (Thu Dec 14 2006 - 17:32:50 PST)
- RE: [sv-cc] Question on "rand" qualifer on struct elements (Tue Dec 12 2006 - 11:50:53 PST)
- RE: [sv-cc] Question on "rand" qualifer on struct elements (Fri Dec 08 2006 - 08:53:42 PST)
- RE: [sv-cc] Question on "rand" qualifer on struct elements (Thu Dec 07 2006 - 21:52:50 PST)
- RE: [sv-cc] Question on "rand" qualifer on struct elements (Wed Dec 06 2006 - 08:24:00 PST)
- [sv-cc] Question on "rand" qualifer on struct elements (Wed Nov 29 2006 - 11:47:18 PST)
- RE: [sv-cc] fork join VPI access (Tue Mar 21 2006 - 20:50:15 PST)
- RE: [sv-cc] Unpacked array argument index correspondance (Thu Feb 16 2006 - 18:03:20 PST)
- RE: [sv-cc] Unpacked array argument index correspondance (Wed Feb 15 2006 - 08:13:38 PST)
- RE: [sv-cc] Unpacked array argument index correspondance (Fri Feb 10 2006 - 16:04:35 PST)
- [sv-cc] Unpacked array argument index correspondance (Fri Feb 10 2006 - 15:59:38 PST)
- [sv-cc] RE: [sv-ac] Re: [sv-ec] Re: [sv-bc] Opinion on merging of P1364 and P1800 (Mon Jan 30 2006 - 00:15:33 PST)
- RE: [sv-cc] New version of #736 proposal uploaded (Mon Dec 12 2005 - 08:38:41 PST)
- [sv-cc] New version of #736 proposal uploaded (Sun Dec 11 2005 - 13:21:09 PST)
- RE: [POSSIBLE VIRUS:###] [sv-cc] [Fwd: P1800/draft5 sv_vpi_user.h file] (Wed Jun 01 2005 - 20:19:54 PDT)
- RE: [sv-cc] SV-CC agenda for 05/18/2005 (Tue May 17 2005 - 22:11:53 PDT)
- RE: [sv-cc] Email ballot on Item 734 (Tue May 10 2005 - 15:06:51 PDT)
- [sv-cc] Proposals uploaded (Thu May 05 2005 - 22:16:53 PDT)
- RE: [sv-cc] Some more thought on the bootstrapping process (Wed Apr 20 2005 - 10:16:11 PDT)
- RE: [sv-cc] Definition of ref obj: 455 and 489 (Tue Apr 12 2005 - 10:01:33 PDT)
- [sv-cc] Test message - disregard (Tue Apr 12 2005 - 09:56:21 PDT)
- RE: [sv-cc] ref obj: more clarifications and issues (Sun Apr 10 2005 - 07:48:20 PDT)
- RE: [sv-cc] More trivial items (Thu Apr 07 2005 - 23:31:37 PDT)
- RE: [sv-cc] Proposal for 526: vpiValid for dynamic variables (Thu Apr 07 2005 - 23:09:35 PDT)
- [sv-cc] Mantis Item #536 ready for review (Tue Apr 05 2005 - 17:15:06 PDT)
- RE: [sv-cc] Handling trivial issues (Tue Apr 05 2005 - 10:01:59 PDT)
- [sv-cc] New Mantis item #385 added (Fri Feb 18 2005 - 15:49:53 PST)
- [sv-cc] RE: SV LRM Review: 277, and 265 (Tue Jan 25 2005 - 17:01:14 PST)
- [sv-cc] RE: SV LRM review issues (Mon Jan 24 2005 - 16:27:12 PST)
- [sv-cc] SV LRM review issues (Sun Jan 23 2005 - 10:23:19 PST)
- RE: [sv-cc] FW: [sv-champions] Email vote on items for the 1/26/05 meeting (Sun Jan 23 2005 - 07:50:19 PST)
- RE: [sv-cc] FW: [sv-champions] Email vote on items for the 1/26/05 meeting (Fri Jan 21 2005 - 08:54:46 PST)
- [sv-cc] RE: [sv-champions] Email vote on items for the 1/26/05 meeting (Thu Jan 20 2005 - 15:16:20 PST)
- [sv-cc] Proposal for SV #353 (Wed Jan 19 2005 - 00:17:08 PST)
- RE: [sv-cc] Fixes needed for sv_dpi_user.h (Mon Jan 17 2005 - 16:49:52 PST)
- [sv-cc] Fixes needed for sv_dpi_user.h (Fri Jan 14 2005 - 18:19:16 PST)
- RE: [sv-cc] modified sv_vpi_user.h to test (Fri Jan 14 2005 - 15:48:39 PST)
- RE: [sv-cc] Two quick proposals from this week's meeting (Mon Jan 10 2005 - 08:22:50 PST)
- RE: [sv-cc] Two quick proposals from this week's meeting (Fri Jan 07 2005 - 08:58:57 PST)
- [sv-cc] Two quick proposals from this week's meeting (Thu Jan 06 2005 - 17:38:53 PST)
- [sv-cc] RE: SV 342 (Wed Jan 05 2005 - 23:05:28 PST)
- RE: [sv-cc] SV-CC Meeting minutes for 12/22/2004 (Wed Dec 22 2004 - 11:50:15 PST)
- RE: [sv-cc] item 50 again (Tue Dec 21 2004 - 18:51:37 PST)
- RE: [sv-cc] item 50 again (Tue Dec 21 2004 - 16:59:35 PST)
- [sv-cc] RE: [P1800] Champions Meeting Results and Minutes (Tue Dec 21 2004 - 14:26:34 PST)
- RE: [sv-cc] Proposal now available for Item 053 (Mon Dec 20 2004 - 22:15:56 PST)
- RE: [sv-cc] Let's try to do an email vote. (Mon Dec 20 2004 - 12:44:54 PST)
- RE: [sv-cc] Need to meet today or early tomorrow. (Mon Dec 20 2004 - 09:01:01 PST)
- [sv-cc] RE: [P1800] Champions Spreadsheet for the 12/21/04 Meeting (Fri Dec 17 2004 - 19:30:06 PST)
- [sv-cc] RE: [P1800] Champions Spreadsheet for the 12/21/04 Meeting (Fri Dec 17 2004 - 18:33:51 PST)
- RE: [sv-cc] Final version of sv-cc #50 is uploaded (Fri Dec 17 2004 - 15:39:08 PST)
- [sv-cc] Final version of sv-cc #50 is uploaded (Thu Dec 16 2004 - 22:04:07 PST)
- RE: [sv-cc] P1800 WG outcome (Wed Dec 15 2004 - 20:59:04 PST)
- RE: [sv-cc] Meeting Minutes 12/15/2004 (Wed Dec 15 2004 - 16:47:14 PST)
- RE: [sv-cc] New DPI item, with proposal (Tue Dec 14 2004 - 13:24:53 PST)
- [sv-cc] Item #50 comments? (Tue Dec 14 2004 - 06:27:12 PST)
- RE: [sv-cc] Joint proposal for SV-CC #50 ready for review (Fri Dec 10 2004 - 10:24:23 PST)
- RE: [sv-cc] Joint proposal for SV-CC #50 ready for review (Fri Dec 10 2004 - 00:12:57 PST)
- [sv-cc] Joint proposal for SV-CC #50 ready for review (Thu Dec 09 2004 - 23:46:19 PST)
- RE: [sv-cc] RE: [sv-ec] String proposal (Tue Dec 07 2004 - 11:41:11 PST)
- [sv-cc] RE: [sv-ec] String proposal (Mon Dec 06 2004 - 21:46:25 PST)
- [sv-cc] RE: [sv-ec] String proposal (Mon Dec 06 2004 - 17:26:41 PST)
- RE: [sv-cc] SV-CC Meeting minutes for 11/24/2004 (Tue Nov 30 2004 - 21:28:29 PST)
- [sv-cc] SV-201 has been updated (Wed Nov 24 2004 - 12:59:54 PST)
- [sv-cc] Clarification on my earlier mail regarding on strings (Mon Nov 22 2004 - 13:53:12 PST)
- RE: [sv-cc] Proposal for VPI support of types on nets (Mon Nov 22 2004 - 09:28:11 PST)
- [sv-cc] RE: [sv-ec] SV-EC errata Meeting November 8, 2004 Minutes (Thu Nov 18 2004 - 18:39:51 PST)
- RE: [sv-cc] CC related changes to the proposal for data types on nets. (Wed Nov 17 2004 - 14:10:03 PST)
- [sv-cc] New version of SV-CC 201 is uploaded (Wed Nov 17 2004 - 00:18:04 PST)
- [sv-cc] Example "foo7" added to 274's proposal (Wed Nov 10 2004 - 13:24:19 PST)
- RE: [sv-cc] Proposal uploaded for #72, #288 (Tue Nov 09 2004 - 09:38:39 PST)
- [sv-cc] RE: Suggestion for proposal for #121 (Tue Nov 02 2004 - 17:01:58 PST)
- RE: [sv-cc] SV-CC proposals updated (Tue Nov 02 2004 - 12:12:40 PST)
- [sv-cc] SV-CC proposals updated (Mon Nov 01 2004 - 22:53:46 PST)
- [sv-cc] Recap of SV-CC item 274 (Mon Nov 01 2004 - 22:47:04 PST)
- RE: [sv-cc] Another errata for 32 bit wide items ? (Wed Oct 27 2004 - 15:04:14 PDT)
- RE: [sv-cc] New Mantis item (274) on DPI's svBitVec32 --> open arrays 27.1.2 (Wed Oct 27 2004 - 09:28:58 PDT)
- RE: [sv-cc] New Mantis item (274) on DPI's svBitVec32 --> open arrays 27.1.2 (Wed Oct 27 2004 - 08:54:02 PDT)
- RE: [sv-cc] New Mantis item (274) on DPI's svBitVec32 --> open arrays 27.1.2 (Wed Oct 27 2004 - 07:24:08 PDT)
- [sv-cc] New Mantis item (274) on DPI's svBitVec32 (Tue Oct 26 2004 - 22:31:24 PDT)
- [sv-cc] Unpacked unions containing unpacked structs (Tue Oct 26 2004 - 17:29:51 PDT)
- RE: [sv-cc] SV-CC agenda for 10/27/2004 (Tue Oct 26 2004 - 14:49:54 PDT)
- RE: [sv-cc] More information on C ABI's for those interested (Thu Oct 14 2004 - 15:39:37 PDT)
- [sv-cc] More information on C ABI's for those interested (Wed Oct 13 2004 - 21:37:17 PDT)
- [sv-cc] Issue 205 summary 10/05/04 (Tue Oct 05 2004 - 23:21:33 PDT)
- RE: [sv-cc] question about mapping of types in DPI (Mon Sep 20 2004 - 12:18:23 PDT)
- RE: [sv-cc] Minutes from today's meeting (Fri Sep 10 2004 - 23:44:25 PDT)
- RE: [sv-cc] RE: Current SV-CC Errata? (Thu Jul 08 2004 - 21:30:32 PDT)
- [sv-cc] Proposed changes to 4-state packed vector representation (Fri Apr 23 2004 - 09:17:17 PDT)
- RE: [sv-cc] Meeting reminder - 04/21/2004 (Wed Apr 21 2004 - 09:27:26 PDT)
- RE: [sv-cc] Question on LRM E.6.7 (Thu Apr 15 2004 - 14:41:06 PDT)
- [sv-cc] Question on LRM E.6.7 (Thu Apr 15 2004 - 11:31:47 PDT)
- [sv-cc] RE: [sv-ec] Draft 5 Changes Review Request (Tue Feb 24 2004 - 11:35:15 PST)
- [sv-cc] Ralph's review notes (Wed Feb 11 2004 - 06:40:28 PST)
- RE: [sv-cc] Meeting reminder - 02/11 (Wed Feb 11 2004 - 00:17:36 PST)
- RE: [sv-cc] Meeting reminder - 02/11 (Tue Feb 10 2004 - 23:18:40 PST)
- [sv-cc] DPI Errata list (Fri Jan 30 2004 - 17:23:39 PST)
- RE: [sv-cc] partitioning of the review (Thu Jan 29 2004 - 18:10:02 PST)
- [sv-cc] SV-CC Minutes 01/20/04 (Tue Jan 20 2004 - 10:08:39 PST)
- RE: [sv-cc] ANN: Assertion Errata poll and VPI Ext vote (Thu Jan 15 2004 - 12:12:03 PST)
- RE: [sv-cc] URGENT: Next Meeting Planned for Monday 1/19 (Wed Jan 14 2004 - 14:08:02 PST)
- RE: [sv-cc] URGENT: Next Meeting Planned for Monday 1/19 (Wed Jan 14 2004 - 12:58:47 PST)
- [sv-cc] SV-CC minutes Jan 14 2004 (Wed Jan 14 2004 - 10:09:08 PST)
- RE: [sv-cc] Updated version of SV VPI extensions (dated Jan 12) (Wed Jan 14 2004 - 08:32:15 PST)
- RE: [sv-cc] Fwd: READ api issues (Tue Jan 13 2004 - 14:33:25 PST)
- RE: [sv-cc] Editor's Notes in 3.1a Draft 3 LRM (Mon Jan 12 2004 - 09:10:59 PST)
- RE: [sv-cc] Draft 3 of the LRM is now available (Fri Jan 09 2004 - 16:30:49 PST)
- [sv-cc] Further comments on Novas proposal (Sat Dec 06 2003 - 16:16:54 PST)
- RE: [sv-cc] ANN: Ballots for 2 polls (Sat Dec 06 2003 - 16:14:40 PST)
- [sv-cc] Comments on latest Novas proposal (Tue Nov 25 2003 - 16:02:42 PST)
- [sv-cc] Detailed comments on latest rev of Bassam's proposal (Fri Nov 21 2003 - 13:54:41 PST)
- RE: [sv-cc] ANN: Two votes on SV 3.1A donations (Sun Nov 16 2003 - 21:16:59 PST)
- [sv-cc] Final revision of DPI imported tasks proposal (Wed Nov 12 2003 - 15:09:41 PST)
- [sv-cc] Revision 4 of DPI task proposal (Fri Nov 07 2003 - 23:23:24 PST)
- [sv-cc] SV-CC Face to Face Meeting (Tue Nov 04 2003 - 14:59:28 PST)
- RE: [sv-cc] ANN: Acceptance vote on Novas VCD donation (Sat Oct 25 2003 - 11:05:37 PDT)
- [sv-cc] Proposal for Disables and DPI interaction (Fri Oct 17 2003 - 23:51:26 PDT)
- [sv-cc] Minutes for 10/15/03 SV-CC Meeting (Wed Oct 15 2003 - 10:59:43 PDT)
- RE: [sv-cc] DPI tasks and function interaction with disable state ments (Wed Oct 15 2003 - 09:09:37 PDT)
- RE: [sv-cc] Vote/Poll/Review announcement (Mon Oct 13 2003 - 12:01:25 PDT)
- [sv-cc] DPI tasks and function interaction with disable statements (Fri Oct 03 2003 - 18:33:17 PDT)
- [sv-cc] David Smith's issues with open array LRM changes (Thu Sep 25 2003 - 09:13:02 PDT)
- [sv-cc] Next rev of DPI task proposal (Tue Sep 23 2003 - 00:27:48 PDT)
- [sv-cc] Copy of MTI's presentation for SV face-to-face (Wed Sep 17 2003 - 21:41:40 PDT)
- [sv-cc] Proposal on exported tasks (Wed Sep 17 2003 - 17:31:18 PDT)
- [sv-cc] FW: [sv-ec] Conflict due to range and packed_dimension (Tue Sep 09 2003 - 11:25:53 PDT)
- [sv-cc] FW: [sv-ec] Conflict due to range and packed_dimension (Tue Sep 09 2003 - 11:20:11 PDT)
- RE: [sv-cc] Poll on errata for open arrays (Fri Aug 29 2003 - 09:08:57 PDT)
- [sv-cc] SV-CC minutes for 08/20/03 (Wed Aug 20 2003 - 09:36:30 PDT)
- RE: [sv-cc] dynamic arrays and open arrays (Wed Aug 13 2003 - 10:10:26 PDT)
- RE: [sv-cc] Mail from ["Clifford E. Cummings" <cliffc@sunburst-de sign.com>] (Tue Aug 05 2003 - 16:03:18 PDT)
- [sv-cc] MTI donation for exported tasks (Mon Aug 04 2003 - 14:36:08 PDT)
- RE: [sv-cc] SV-CC Meeting Minutes for July 30, 2003 (Thu Jul 31 2003 - 03:01:08 PDT)
- RE: [sv-cc] Meeting minutes, July 16, 2003 (Thu Jul 17 2003 - 12:56:18 PDT)
- RE: [sv-cc] Meeting minutes, July 16, 2003 (Wed Jul 16 2003 - 13:25:13 PDT)
- [sv-cc] Problems in 3.1 official BNF for DPI (Thu Jul 10 2003 - 23:50:43 PDT)
- RE: [sv-cc] Wrong syntax for import "DPI" ? Missing "function" or (Mon Jul 07 2003 - 15:06:22 PDT)
- RE: [sv-cc] Wrong syntax for import "DPI" ? Missing "function" or superfluous "function"? (Mon Jul 07 2003 - 14:42:09 PDT)
- [sv-cc] More SV draft6 LRM problems (Mon May 19 2003 - 23:12:43 PDT)
- [sv-cc] More errata in DPI parts of LRM (Sat May 17 2003 - 15:52:15 PDT)
- [sv-cc] Minutes for 04/08/03 meeting (Tue Apr 08 2003 - 10:15:45 PDT)
- RE: [sv-cc] DPI - supported data types (Tue Apr 01 2003 - 22:29:10 PST)
- RE: [sv-cc] SV-CC LRM Version 0.8 (Tue Apr 01 2003 - 08:40:06 PST)
- RE: [sv-cc] Feedback on revision 0.8 (Fri Mar 28 2003 - 09:25:18 PST)
- RE: [sv-cc] SV-CC LRM Version 0.8 (Thu Mar 27 2003 - 21:32:19 PST)
- [sv-cc] userdata edits for inclusion in LRM v0.8 (Thu Mar 27 2003 - 12:01:30 PST)
- RE: [sv-cc] Final proposal for user data management (Thu Mar 27 2003 - 11:42:22 PST)
- [sv-cc] RE: sv-cc-lrm-v0.7.pdf (Thu Mar 27 2003 - 11:15:56 PST)
- [sv-cc] Meeting minutes for SV-CC 03/27 (Thu Mar 27 2003 - 11:02:52 PST)
- [sv-cc] Final proposal for user data management (Thu Mar 27 2003 - 09:53:48 PST)
- RE: [sv-cc] open issue: exported function = virtual function? (Thu Mar 27 2003 - 09:43:44 PST)
- [sv-cc] RE: sv-cc-lrm-v0.7.pdf (Wed Mar 26 2003 - 16:21:03 PST)
- RE: [sv-cc] Re-proposed user data API (Wed Mar 26 2003 - 14:17:03 PST)
- RE: [sv-cc] open issue: exported function = virtual function? (Wed Mar 26 2003 - 12:48:28 PST)
- RE: [sv-cc] open issue: exported function = virtual function? (Wed Mar 26 2003 - 12:08:03 PST)
- [sv-cc] Re-proposed user data API (Wed Mar 26 2003 - 11:55:54 PST)
- RE: [sv-cc] LRM modifications for svGet/PutUserData proposal (Tue Mar 25 2003 - 21:38:11 PST)
- [sv-cc] SV-CC meeting before Thursday deadline? (Tue Mar 25 2003 - 17:02:41 PST)
- [sv-cc] Remaining technical Issues for discussion on 3/25 (Mon Mar 24 2003 - 21:24:23 PST)
- RE: [sv-cc] Modified Get/Put User Data Proposal - function vs. mo dule scope (Mon Mar 24 2003 - 09:46:34 PST)
- RE: [sv-cc] David's update to our extern/export proposal (Wed Mar 19 2003 - 21:33:16 PST)
- RE: [sv-cc] Re: Modified Get/Put ... - svGetScopeFromName()/svPut UserData() o verhead+restrictions (Wed Mar 19 2003 - 13:14:25 PST)
- RE: [sv-cc] Updated LRM (Wed Mar 19 2003 - 09:01:27 PST)
- RE: [sv-cc] Modified Get/Put User Data Proposal (Wed Mar 19 2003 - 07:36:32 PST)
- RE: [sv-cc] Updated LRM (Tue Mar 18 2003 - 09:16:58 PST)
- RE: [sv-cc] Updated LRM (Tue Mar 18 2003 - 09:08:20 PST)
- RE: [sv-cc] reminder: updated svc.h, svc_src.h needed - Separate concerns! (Tue Mar 18 2003 - 08:38:35 PST)
- RE: [sv-cc] Updated LRM (Tue Mar 18 2003 - 08:33:38 PST)
- [sv-cc] RE: extern (Tue Mar 18 2003 - 07:44:52 PST)
- RE: [sv-cc] Meeting reminder - 03/18/03 (Tue Mar 18 2003 - 06:58:19 PST)
- RE: [sv-cc] DPI context - setting/preserving&resetting a scope (Mon Mar 17 2003 - 13:38:20 PST)
- RE: [sv-cc] DPI context - setting/preserving&resetting a scope (Fri Mar 14 2003 - 14:55:51 PST)
- RE: [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer (Fri Mar 14 2003 - 12:14:22 PST)
- RE: [sv-cc] FW: [sv-bc] External Functions and Tasks proposal (Fri Mar 14 2003 - 11:45:03 PST)
- [sv-cc] FW: [sv-bc] External Functions and Tasks proposal (Fri Mar 14 2003 - 07:54:45 PST)
- RE: [sv-cc] DPI context - setting/preserving&resetting a scope (Thu Mar 13 2003 - 22:16:33 PST)
- RE: [sv-cc] RE: Version 2 of DPI LRM (Thu Mar 13 2003 - 22:07:28 PST)
- RE: [sv-cc] Updated externexport proposal (version N+2) (Thu Mar 13 2003 - 15:50:32 PST)
- RE: [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer (Thu Mar 13 2003 - 15:42:12 PST)
- RE: [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer (Thu Mar 13 2003 - 14:12:46 PST)
- RE: [sv-cc] RE: Version 2 of DPI LRM (Wed Mar 12 2003 - 18:09:01 PST)
- RE: [sv-cc] RE: Version 2 of DPI LRM (Wed Mar 12 2003 - 12:00:53 PST)
- [sv-cc] RE: Version 2 of DPI LRM (Wed Mar 12 2003 - 10:34:56 PST)
- RE: [sv-cc] [Fwd: Re: Version 2 of DPI LRM] (Wed Mar 12 2003 - 10:24:35 PST)
- RE: [sv-cc] Updated extern/exports proposal (Wed Mar 12 2003 - 10:15:23 PST)
- RE: [sv-cc] Updated extern/exports proposal (Wed Mar 12 2003 - 09:49:12 PST)
- [sv-cc] RE: Version 2 of DPI LRM (Tue Mar 11 2003 - 13:59:23 PST)
- [sv-cc] RE: Version 2 of DPI LRM (Tue Mar 11 2003 - 13:05:44 PST)
- [sv-cc] SV-CC minutes 03/11/03 (Tue Mar 11 2003 - 10:06:33 PST)
- [sv-cc] conf call? (Tue Mar 11 2003 - 09:08:28 PST)
- RE: [sv-cc] elaboration time calls of DPI functions (Mon Mar 10 2003 - 17:09:57 PST)
- RE: [sv-cc] Polls on extern/export and representation of SV data types (Mon Mar 10 2003 - 11:32:13 PST)
- [sv-cc] LRM edits for "the gnarly thread" (Mon Mar 10 2003 - 10:42:36 PST)
- RE: [sv-cc] Polls on extern/export and representation of SV data types (Mon Mar 10 2003 - 10:26:23 PST)
- [sv-cc] Header file names? (Fri Mar 07 2003 - 21:30:41 PST)
- RE: [sv-cc] more about import/export (Fri Mar 07 2003 - 16:50:46 PST)
- RE: [sv-cc] more about import/export (Fri Mar 07 2003 - 16:41:07 PST)
- RE: [sv-cc] Polls on extern/export and representation of SV data types (Thu Mar 06 2003 - 22:27:14 PST)
- RE: [sv-cc] Polls on extern/export and representation of SV data types (Thu Mar 06 2003 - 22:21:53 PST)
- RE: [sv-cc] more about import/export (Thu Mar 06 2003 - 21:33:33 PST)
- RE: [sv-cc] more about import/export (Thu Mar 06 2003 - 12:08:22 PST)
- RE: [sv-cc] more about import/export (Wed Mar 05 2003 - 22:31:12 PST)
- RE: [sv-cc] extern/export function declarations (Wed Mar 05 2003 - 08:33:45 PST)
- RE: [sv-cc] Informal poll on constant naming convention (Thu Feb 27 2003 - 08:54:40 PST)
- RE: [sv-cc] DirectC: passing by name, restrictions (Wed Feb 26 2003 - 23:18:31 PST)
- [sv-cc] Informal poll on constant naming convention (Wed Feb 26 2003 - 22:37:43 PST)
- [sv-cc] RE: [sv-ac] Re: [sv-ec] Re: [sv-bc] SystemVerilog 3.1 Scheduling Semantics (Wed Feb 26 2003 - 22:13:55 PST)
- [sv-cc] Vote on Michael's Inclusion proposals (Tue Feb 25 2003 - 23:36:38 PST)
- RE: [sv-cc] Poll on Issue 1.9 (Michael's Inclusion proposal) (Thu Feb 20 2003 - 23:41:10 PST)
- RE: [sv-cc] DirectC C side pdf - comments & explanations (Thu Feb 20 2003 - 22:46:25 PST)
- RE: [sv-cc] Poll on Issue 1.9 (Michael's Inclusion proposal) (Wed Feb 19 2003 - 20:29:16 PST)
- RE: [sv-cc] DirectC C side pdf (Wed Feb 19 2003 - 20:25:00 PST)
- RE: [sv-cc] Looking for proposals on DirectC/other names (Tue Feb 18 2003 - 21:33:13 PST)
- RE: [sv-cc] Patent 6,141,630 From Verisity (Mon Feb 17 2003 - 13:22:50 PST)
- RE: [sv-cc] Update on inclusion doc (Mon Feb 10 2003 - 22:46:24 PST)
- RE: [sv-cc] Polls on Coverage VPI and String datatype (Fri Feb 07 2003 - 13:13:54 PST)
- Poll on issues 1.7, 1.11 (Mon Jan 20 2003 - 10:01:36 PST)
- RE: DirectC: C Layer - another revision (Thu Jan 16 2003 - 10:12:37 PST)
- RE: Polls on (1.7, 1.11) and 2.1 (Wed Jan 15 2003 - 12:27:21 PST)
- RE: SV_CC meeting minutes for 15 Jan, 2003 (Wed Jan 15 2003 - 11:06:20 PST)
- RE: DirectC: C Layer - another revision (Wed Jan 15 2003 - 10:46:59 PST)
- RE: Assertions API v0.3 (Tue Jan 14 2003 - 13:21:18 PST)
- RE: DirectC: C layer - naming convention (Tue Jan 14 2003 - 13:04:33 PST)
- Meeting Minutes 01/14/03 (Tue Jan 14 2003 - 10:10:55 PST)
- RE: DirectC: C layer revised (Sat Jan 11 2003 - 19:31:40 PST)
- RE: Organizational issues (Wed Jan 08 2003 - 13:39:28 PST)
- Re: pointers & handles (Tue Jan 07 2003 - 16:26:15 PST)
- Re: "scv" and "svc" prefixes (Tue Jan 07 2003 - 16:14:36 PST)
- "scv" and "svc" prefixes (Tue Jan 07 2003 - 10:51:56 PST)
- Vote on Kevin's proposal (Fri Dec 20 2002 - 12:43:12 PST)
- SV-CC Meeting Minutes 12/18/02 (Wed Dec 18 2002 - 10:32:03 PST)
- Re: DirectC: C layer (Tue Dec 17 2002 - 10:12:08 PST)
- RE: DirectC: C layer (Sun Dec 15 2002 - 10:25:50 PST)
- RE: DirectC: C layer (Sun Dec 15 2002 - 10:20:11 PST)
- RE: DirectC: C layer (Sun Dec 15 2002 - 09:53:39 PST)
- RE: DirectC: C layer (Sun Dec 15 2002 - 08:56:25 PST)
- Re: SV-CC Proposal revision 7 (Thu Dec 12 2002 - 15:07:33 PST)
- Re: DirectC: C layer - abstract vs direct (Wed Dec 11 2002 - 12:46:49 PST)
- Re: DirectC: C layer - abstract vs direct (Wed Dec 11 2002 - 10:57:21 PST)
- Re: const'ness vs reality (Wed Dec 11 2002 - 10:50:49 PST)
- SV-CC Minutes 12/11/02 (Wed Dec 11 2002 - 10:22:46 PST)
- RE: Poll on ISSUE 1.1 (Mon Dec 02 2002 - 09:30:08 PST)
- External model proposal #2 (Mon Dec 02 2002 - 09:25:55 PST)
- RE: SV-CC face-to-face meeting in January - postpone by 1 week? (Sun Dec 01 2002 - 09:33:59 PST)
- RE: More on ISSUE 1.7, More on Context Sensitivity Proposals (Thu Nov 28 2002 - 10:07:43 PST)
- Re: Poll on ISSUE 1.7 (Wed Nov 27 2002 - 14:44:09 PST)
- Re: DirectC: proposals for Open Issues 1.2, 1.3, 1.8, 1.9, 1.10. (Wed Nov 27 2002 - 14:40:58 PST)
- Dec 3rd Face-to-Face logistics (Wed Nov 27 2002 - 11:43:09 PST)
- Re: Agenda for the face-to-face meeting on 12/03 (Mon Nov 25 2002 - 11:58:52 PST)
- Re: Modified Proposal ... - modified syntax for "export" (Fri Nov 22 2002 - 10:03:15 PST)
- RE: More on ISSUE 1.7 (Fri Nov 22 2002 - 09:05:09 PST)
- RE: Modified Proposal ... - modified syntax for "export" (Fri Nov 22 2002 - 08:52:06 PST)
- RE: Modified Proposal ... - modified syntax for "export" (Thu Nov 21 2002 - 18:22:08 PST)
- More on ISSUE 1.7 (Thu Nov 21 2002 - 15:54:03 PST)
- Face-to-Face Meeting on 3 December (Thu Nov 21 2002 - 13:57:51 PST)
- External model ideas (Fri Nov 15 2002 - 11:49:54 PST)
- Re: Some thoughts on ISSUE lifecycle and schedule (Tue Nov 12 2002 - 15:37:51 PST)
- Re: Alternative to SvccBindSVcallee/r (Tue Nov 12 2002 - 15:20:54 PST)
- ISSUE: Direct vs. Abstract function parameter interfaces (Wed Nov 06 2002 - 23:11:58 PST)
- RE: ISSUE: cmodules vs. external "C" tasks (Wed Nov 06 2002 - 23:05:30 PST)
- RE: DirectC: properties/capabilities of external functions (Wed Nov 06 2002 - 22:05:24 PST)
- ISSUE: cmodules vs. external "C" tasks (Wed Nov 06 2002 - 21:31:16 PST)
- RE: DirectC: external modules vs. external/exported tasks (Wed Nov 06 2002 - 21:17:08 PST)
- Re: ISSUE:DirectC:DirectC i/f should support mechanismforcalling Verilog task/function from a DirectC application (Wed Oct 23 2002 - 17:00:14 PDT)
- Re: Coverage semantics (Wed Oct 23 2002 - 16:57:56 PDT)
- Re: ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC application (Wed Oct 23 2002 - 14:56:27 PDT)
- RE: ISSUE:DirectC:DirectC i/f should support mechanism for callin g Verilog task/function from a DirectC application (Mon Oct 21 2002 - 17:30:56 PDT)
- SV-CC Meeting Minutes 10/15/02 (Tue Oct 15 2002 - 15:29:28 PDT)
- Technical Discussion of DirectC (Mon Oct 07 2002 - 15:47:10 PDT)
- Prioritization on SVCC's Charter, API issues (Mon Oct 07 2002 - 15:15:24 PDT)
- Wilson Snyder
- Yatin Trivedi
- Last message date: Thu Jan 16 2014 - 07:29:03 PST
- Archived on: Thu Jan 16 2014 - 07:29:08 PST