System/Verilog C/C++ Committee By Subject
- "scv" and "svc" prefixes
- $sv-cc - defining memory layout for SV data types
- $sv-ec Agenda And Attendance List -Second SystemVerilog Face to Face meeting - December 4 - Mentor Graphics - San Jose
- $sv-ec Minutes / Pointers
- (no subject)
- 10/1/02 meeting remidner
- 1364 Minutes - Mantis 680 Resolved
- 1503 Assertion VPI update
- 1800-2008
- 1800-2009 Approval Notification
- 2226 draft 6.
- 2240 not a duplicate of 1946
- 3423
- [Fwd: [sv-cc] Schedule and process for reviewing incorporated Items]
- [Fwd: [SystemVerilog P1800 0002621]: Ballot comment #155 vpiSize should return an error when applied on a vpiFunction returning string]
- [Fwd: Fwd: Synopsys Vote for DirectC donation]
- [Fwd: ISSUE #?? Proposal: const attribute for input params]
- [Fwd: Majordomo results: (no subject)]
- [Fwd: Minutes for the meeting on 9/24]
- [Fwd: Minutes for the meeting on 9/24]--- my vote on DirectC
- [Fwd: Minutes of sv-cc meeting, 7/23/2002]
- [Fwd: Returned mail: see transcript for details]
- [Fwd: Voting on ISSUE 1.6]
- [Opaque] Pointers
- [P1800] Ballot comment 159
- [P1800] Champions Meeting Results and Minutes
- [P1800] Champions Spreadsheet for the 12/21/04 Meeting
- [P1800] clause renumbering in 1364
- [P1800] IEEE P1800 Draft 4 Ready for Download
- [P1800] IEEE P1800-2008 Draft 3a Available for Download
- [P1800] March 2009 P1800 Ballot Comments
- [P1800] Notes from 26 February 2010 SystemVerilog Requirements Gathering Meeting
- [P1800] P1800-UVM - JEITA SV WG meeting
- [P1800] Questions on our activities during the ballot period.
- [P1800] Questions on our activities during the ballot period.]
- [P1800] Results of the Champions meeting on 1/4/05
- [P1800] SV-CC items which already have proposals
- [P1800] sv-sc Meeting agenda - April 21
- [P1800] SV-XC committee meeting invitation
- [P1800] SystemVerilog (P1800) and Verilog (P1364) Draft Documents Available
- [P1800] Updated Database
- [POSSIBLE VIRUS:###] [sv-cc] [Fwd: P1800/draft5 sv_vpi_user.h file]
- [SPAM] - [sv-cc] Proposal for #431 uploaded - Email found in subject
- [SPAM] - [sv-cc] Proposals added for #422, #423, and #427 - Email found in subject
- [sv-ac] #1361 proposal]
- [sv-ac] 2005 (deferred assertions) modified, approved by SV-CC
- [sv-ac] call to vote on 1503
- [sv-ac] Draft P1800/D9-preliminary review
- [sv-ac] Editor comments on reviewing draft8-preliminary
- [sv-ac] Full Committee SystemVerilog On November 14 - AT Mentor Graphics - San Jose
- [sv-ac] IEEE Std 1800-2009 Is Published - Available for Purchase & Download
- [sv-ac] information on SV-AC items and efforts
- [sv-ac] New keywords in SV-AC proposals
- Steven Sharp (Fri Mar 28 2008 - 14:21:39 PDT)
- John Havlicek (Fri Mar 28 2008 - 12:38:31 PDT)
- Steven Sharp (Thu Mar 27 2008 - 17:42:09 PDT)
- Jim Vellenga (Fri Mar 21 2008 - 05:27:16 PDT)
- Gordon Vreugdenhil (Thu Mar 20 2008 - 15:40:35 PDT)
- Mark Hartoog (Thu Mar 20 2008 - 11:23:24 PDT)
- Gordon Vreugdenhil (Thu Mar 20 2008 - 07:47:35 PDT)
- Bresticker, Shalom (Tue Mar 18 2008 - 20:34:18 PDT)
- Steven Sharp (Tue Mar 18 2008 - 16:15:39 PDT)
- Steven Sharp (Tue Mar 18 2008 - 15:16:19 PDT)
- Eduard Cerny (Tue Mar 18 2008 - 14:50:03 PDT)
- Steven Sharp (Tue Mar 18 2008 - 14:42:48 PDT)
- Steven Sharp (Tue Mar 18 2008 - 14:19:47 PDT)
- Steven Sharp (Tue Mar 18 2008 - 14:00:33 PDT)
- Gordon Vreugdenhil (Fri Mar 14 2008 - 01:04:42 PDT)
- Bresticker, Shalom (Fri Mar 14 2008 - 00:42:32 PDT)
- Steven Sharp (Thu Mar 13 2008 - 16:54:53 PDT)
- Feldman, Yulik (Thu Mar 13 2008 - 09:13:17 PDT)
- Seligman, Erik (Thu Mar 13 2008 - 07:37:01 PDT)
- Bustan, Doron (Wed Mar 12 2008 - 23:22:09 PDT)
- Korchemny, Dmitry (Wed Mar 12 2008 - 12:13:19 PDT)
- Bustan, Doron (Wed Mar 12 2008 - 06:23:51 PDT)
- Eduard Cerny (Wed Mar 12 2008 - 06:19:01 PDT)
- John Havlicek (Wed Mar 12 2008 - 06:06:18 PDT)
- Bustan, Doron (Wed Mar 12 2008 - 05:56:19 PDT)
- Eduard Cerny (Wed Mar 12 2008 - 05:41:34 PDT)
- Korchemny, Dmitry (Wed Mar 12 2008 - 04:53:22 PDT)
- Bustan, Doron (Wed Mar 12 2008 - 04:54:19 PDT)
- Bustan, Doron (Tue Mar 11 2008 - 23:15:50 PDT)
- Gordon Vreugdenhil (Tue Mar 11 2008 - 20:15:16 PDT)
- Brad Pierce (Tue Mar 11 2008 - 14:15:58 PDT)
- Steven Sharp (Tue Mar 11 2008 - 13:52:02 PDT)
- Eduard Cerny (Tue Mar 11 2008 - 06:51:52 PDT)
- Stuart Sutherland (Mon Mar 10 2008 - 15:32:02 PDT)
- Eduard Cerny (Mon Mar 10 2008 - 14:13:52 PDT)
- [sv-ac] no VPI changes needed for 1668
- [sv-ac] Opinion on merging of P1364 and P1800
- [sv-ac] P1800/D9-preliminary
- [sv-ac] some pointers for writing and reviewing proposals
- [sv-ac] Summary of sv-ac-relevant action items from 4/2 sv-cc mtg
- [sv-ac] SV-AC and SV-BC collaboration mode
- [sv-ac] SV-AC and SV-BC collaboration mode (resent)
- [sv-ac] SystemVerilog Draft6 and Verilog Draft7 are available for download
- [sv-ac] SystemVerilog Errata Technical Planning Meeting on Ju ne 3 -- Mentor Graphics
- [sv-bc] 1800-2009 H.10.1.3 svDpiVersion on 2009 simulators (SV)
- [sv-bc] 1800-2009 I.3 DPI Source comments flipped (SV)
- [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API]
- [sv-bc] Annex A Draft BNF1
- [sv-bc] Annex A Draft BNF2 (no BNF1)
- [sv-bc] Definition of `s_vpi_vecval' in `svdpi.h' and `vpi_user.h'
- [sv-bc] Enhancements important for assertion specification (with Mantis numbers)
- [sv-bc] External Functions and Tasks proposal
- [sv-bc] function external declarations and prototypes
- [sv-bc] Idea to allow $system calls via the DPI
- [sv-bc] IEEE P1800 SystemVerilog Draft 4 Available
- [sv-bc] Interesting LRM pli conflict]
- [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes
- [sv-bc] Minor changes for final LRM
- [sv-bc] Need your review of Mantis item 1741
- [sv-bc] New Operating Procedures
- [sv-bc] New P1800 technical committee - Call For Participation - reminder
- [sv-bc] New P1800 technical committee - Clarification on current SV-AC items
- [sv-bc] Open array mixed with normal array]
- [sv-bc] Opinion on merging of P1364 and P1800
- [sv-bc] our next tele-call meeting
- [sv-bc] packed dimensions and range
- [sv-bc] Please look at SV-AC issue 1549, new keyword being voted on
- [sv-bc] Query related with clocking block declared inside program block.
- [sv-bc] query related with event or operator of Verilog-2001.
- [sv-bc] query related with event or operator of Verilog-2001.[SPAM]
- [sv-bc] realtime is by default signed or unsigned.
- [sv-bc] Ref args
- [sv-bc] ref can be used as formal argument of exported task/function?
- [sv-bc] Request from the SV-CC
- [sv-bc] Stu's QUESTIONS and NOTES in Draft 4
- [sv-bc] Summary of voting for all committees
- [sv-bc] System-Verilog 3.1 import function DPI
- [sv-bc] SystemVerilog 3.1 draft 3 available
- [sv-bc] SystemVerilog 3.1 Scheduling Semantics
- [sv-bc] VPI model issues on interfaces
- [sv-cc]
- [sv-cc] "editorial" errors
- [sv-cc] "post-observed" region in systemverilog
- [sv-cc] 'Does anyone remember what vpiInterfaceTask means?
- [sv-cc] 'Scalar' term for SV function results, etc.
- [sv-cc] (Fwd) BOUNCE sv-cc@eda.org: Non-member submission from ["Stuart Sutherland" <stuart@sutherland-hdl.com>]
- [sv-cc] (text version) Minutes of the SystemVerilog errata meeting August 6, 2 004
- [sv-cc] 10 December 2009 UNAPPROVED Working Group Meeting Minutes
- [sv-cc] 1343 unpacked array index correspondence
- [sv-cc] 1364 Meeting now
- [sv-cc] 1364 Minutes - Mantis 680 Resolved
- [sv-cc] 1456 (context behavior): context follow-up
- [sv-cc] 1456 (context behavior): modified proposal
- [sv-cc] 1503 and 2237
- [sv-cc] 1503 Assertion VPI update
- [sv-cc] 1503 changes to support 1667
- [sv-cc] 1741 proposal issue
- [sv-cc] 1775
- [sv-cc] 2 more items for Errata list
- [sv-cc] 2005 (deferred assertions) modified, approved by SV-CC
- [sv-cc] 2182 (Checker VPI) modified based on SV-CC requests
- [sv-cc] 2182: version with fixed pictures for my changes of yesterday
- [sv-cc] 2226 draft 6.
- [sv-cc] 2226 item has been updated
- [sv-cc] 2240 not a duplicate of 1946
- [sv-cc] 26 February 2010 Face-to-Face Meeting Attendance Status
- [sv-cc] 29 - uses the term "attempt"
- [sv-cc] 29 - uses the term "attempt"]
- [sv-cc] 3 minor Mantis items with proposals ready for review
- [sv-cc] 3.1a LRM Changes documentation
- [sv-cc] 3423
- [sv-cc] 37.25 Named events - editors bug
- [sv-cc] 37.37 Module path, path term typo
- [sv-cc] 606 and 496
- [sv-cc] 62, 63, and 301: On 31.10 Variables
- [sv-cc] [Fwd: [P1800] P1364-2005 Draft 4 available]
- [sv-cc] [Fwd: [P1800] SV-XC committee meeting invitation]
- [sv-cc] [Fwd: [sv-bc] Open array mixed with normal array]
- [sv-cc] [Fwd: [sv-ec] class scope resolution operator]
- [sv-cc] [Fwd: [sv-ec] Next weeks meeting]
- [sv-cc] [Fwd: [SystemVerilog P1800 0002621]: Ballot comment #155 vpiSize should return an error when applied on a vpiFunction returning string]
- [sv-cc] [Fwd: assertion properties]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Bradford Jonathan" <Jonathan.Bradford@Micronas.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Faisal Haque \(fhaque\)" <fhaque@cisco.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Geoffrey.Coram" <Geoffrey.Coram@analog.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Maidment, Matthew R" <matthew.r.maidment@intel.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Mark Hartoog" <Mark.Hartoog@synopsys.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Stuart Sutherland" <stuart@sutherland-hdl.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Stuart Sutherland" <stuart@sutherland.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Dave Scott - MTI south <dscott@model.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Gordon Vreugdenhil <gordonv@model.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [John Havlicek <john.havlicek@freescale.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [John Stickley <john_stickley@mentor.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Kathy McKinley <mckinley@cadence.com>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Neil Korpusik <Neil.Korpusik@Sun.COM>]]
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Steven Sharp <sharp@cadence.com>]]
- [sv-cc] [Fwd: Call for participation: IEEE 1800 CC - errata committee]
- [sv-cc] [Fwd: Errata committees for the P1800 and the P1364]
- [sv-cc] [Fwd: Interesting LRM pli conflict]
- [sv-cc] [Fwd: Issue 50: Action Item]
- [sv-cc] [Fwd: LRM review through Friday Midnight]
- [sv-cc] [Fwd: P1800 instructions for editor - mantis 734, 709, 711 and 712]
- [sv-cc] [Fwd: P1800 instructions for editor - mantis 734, 709, 711]
- [sv-cc] [Fwd: P1800/draft5 sv_vpi_user.h file]
- [sv-cc] [Fwd: Please Read: Schedule for responding to balloting feedback]
- [sv-cc] [Fwd: Questions on merged DPI clause]
- [sv-cc] [Fwd: Ready for merged PLI clauses]
- [sv-cc] [Fwd: Rev 3 of Data Types on Nets Proposal]
- [sv-cc] [Fwd: Summary of the 5/20/05 Meeting]
- [sv-cc] [Fwd: SV-CC Meeting minutes for 12/20/2006]
- [sv-cc] [Fwd: SV-CC status]
- [sv-cc] [Fwd: SVDB Process document]
- [sv-cc] [Fwd: Voting Rights in the Committees]
- [sv-cc] [Fwd: vpi_user.h]
- [sv-cc] [Fwd: vpiAutomatics]
- [sv-cc] [Fwd:--== FDL 2005 - Call for participation ==--]
- [sv-cc] [LOCATION UPDATE] SystemVerilog Errata Technical Planning Meeting on June 3 -- Mentor Graphics
- [sv-cc] [sv-ac] 16.14.8 Nonvacuous evaluations q), editing change, delete the superfluous "that"
- [sv-cc] [sv-ec] [Opaque] Pointers
- [sv-cc] [sv-ec] SystemVerilog 3.1a Draft 4 by "David W. Smith" <dwsmith@S ynopsys.COM>]
- [sv-cc] A couple of comments on 345
- [sv-cc] a cross reference issue in draft 5
- [sv-cc] a few comments/issues import, packages.
- [sv-cc] A possible interpretation for vpi_compare_objects
- [sv-cc] Accellera SV-CC goes into official hibernation
- [sv-cc] Accellera SystemVerilog 3.1A Focus And Plans
- [sv-cc] Action item completed
- [sv-cc] Action item for SV-CC before next week
- [sv-cc] Action item on the Import DPI derivation rule
- [sv-cc] Action items from 09/24
- [sv-cc] Action required on the merged LRM - draft3
- [sv-cc] Added [SystemVerilog Errata 0000726]: Add vpiAssertion object type into sv_vpi_user.h
- [sv-cc] added a bugnote to 450
- [sv-cc] added clarification bug note to 451
- [sv-cc] Added Mantis item 2054 - deprecate Data Read API
- [sv-cc] Added proposal for Mantis item 2216
- [sv-cc] Added proposal to clarify vpiRange relations
- [sv-cc] Additional (*pending*) Errata for assertions API chapter
- [sv-cc] Additional minor corrections to VPI
- [sv-cc] Additional proposals added
- [sv-cc] Agenda And Plans For Full SV Meeting IN Mountain Views
- [sv-cc] Agenda for Encryption group meeting - 22-Dec-2004 at 1100 US/Eastern
- [sv-cc] Agenda for Full SV meeting on February 28
- [sv-cc] Agenda for Next SV-CC Call - June 27, 2012
- [sv-cc] Agenda for the April 30 - May 1 face to face meeting
- [sv-cc] Agenda for the SystemVerilog Requirements Gathering Meeting
- [sv-cc] Agenda for Tomorrow 10/17/2006
- [sv-cc] Agenda items
- [sv-cc] Agenda: SV-XC committee meeting (Dec 20, 2006)
- [sv-cc] AI on errata list: Joao
- [sv-cc] Aligning VIP TSC & SV-CC Conference calls
- [sv-cc] All mantis items should show the Ballot ID
- [sv-cc] All Slides from the 28 February 2003 SV face-to-face.
- [sv-cc] alternative syntax for extern/export
- [sv-cc] ANN: Acceptance vote on Novas VCD donation
- [sv-cc] ANN: Assertion Errata poll and VPI Ext vote
- [sv-cc] ANN: Ballots for 2 polls
- [sv-cc] ANN: Two votes on SV 3.1A donations
- [sv-cc] ANN: Vote on the Reader VPI
- [sv-cc] Annex H of Draft 4
- [sv-cc] Annex K
- [sv-cc] Annex N (sv_vpi_user.h) correction summary
- [sv-cc] Annotated spreadsheet
- [sv-cc] Annotated VPI
- [sv-cc] Annotated VPI extensions proposal
- [sv-cc] Another directC C mapping question
- [sv-cc] Another directC C mapping question: unused bits,
- [sv-cc] Another directC C mapping question: unused bits, masking
- [sv-cc] another directC question
- [sv-cc] another directC question - default argument values?
- [sv-cc] another directC question - defaults for args, passing by name
- [sv-cc] another directC question - optional formal arg names
- [sv-cc] another directC question - skipping params? No way!
- [sv-cc] another directC question - var, immediate propagation
- [sv-cc] Another Draft 8 typo
- [sv-cc] Another errata for 32 bit wide items ?
- [sv-cc] another errata on dynamic arrays: 662
- [sv-cc] Another header file uploaded
- [sv-cc] Another omission in Annex L !
- [sv-cc] Another proposal
- [sv-cc] Another read API Mantis item
- [sv-cc] Approved SV-CC Meeting minutes for Jan-28-2009
- [sv-cc] Are all unpacked arrays VPI array vars?
- [sv-cc] Array of net on module port
- [sv-cc] Assertion "and" and "or" operators in VPI
- [sv-cc] assertion API
- [sv-cc] Assertion API (section 27) corrections
- [sv-cc] Assertion API - index
- [sv-cc] Assertion API errata
- [sv-cc] Assertion API errata, 2nd
- [sv-cc] assertion API issues
- [sv-cc] Assertion API questions
- [sv-cc] Assertion Control, proposal added to mantis #431
- [sv-cc] Assertion errata
- [sv-cc] Assertion errata--items to discuss on 12/17 meeting
- [sv-cc] assertion erratas: 2 minor issues
- [sv-cc] assertion review comments (second part)
- [sv-cc] Assertion VPI discussion
- [sv-cc] Assertion VPI errata -- Jan 5, 2004
- [sv-cc] Assigning Mantis Items
- [sv-cc] Assignment of minor SV-CC issues
- [sv-cc] assignment pattern expressions information model efficiency
- [sv-cc] Availablility for a meeting at the end of this week/early next week
- [sv-cc] Avinash's VPI index
- [sv-cc] Ballot comment 171
- [sv-cc] Ballot comment 171)
- [sv-cc] Ballot for Final Approval Vote
- [sv-cc] Ballot response package posted
- [sv-cc] Ballot review changes to Encryption clause
- [sv-cc] BC extern function declarations
- [sv-cc] bind in VPI
- [sv-cc] BNF and Draft 4 LRM changes
- [sv-cc] BNF Change to dpi_function_proto
- [sv-cc] BNF Change tracking
- [sv-cc] BNF work and status
- [sv-cc] bool: vpiExtern property is it exist ?
- [sv-cc] Breaking down 205 into smaller issues
- [sv-cc] Bug in process object model diagram
- [sv-cc] Bug# 7
- [sv-cc] C Macros For C++ Virtual Function access
- [sv-cc] C-Layer and Include files annexes
- [sv-cc] Call for email vote on Mantis Item 1775
- [sv-cc] Call for Nominations for the 2008 Accellera Technical Excellence Award
- [sv-cc] Call for participation - my status
- [sv-cc] call for participation in SV-DC
- [sv-cc] Callbacks on virtual interface var - proposal
- [sv-cc] Calling SV from C
- [sv-cc] Calling Verilog tasks from C and disable behaviour
- [sv-cc] Can let declarations use the untyped keyword
- [sv-cc] Can Mantis 3123 be closed as 'not a mistake'?
- [sv-cc] Can we meet at 12 o'clock east coast time today?
- [sv-cc] Cannot update Mantis items 1741 and 1751
- [sv-cc] cbNBASync and cbAtEndOfSimTime definitions missing?
- [sv-cc] cbValueChange on SV String
- [sv-cc] CC mantis items which need input from BC
- [sv-cc] CC meeting minutes
- [sv-cc] cc meeting minutes 3/18
- [sv-cc] CC related changes to the proposal for data types on nets.
- [sv-cc] Celebratoin Dinner - Wednesday, 27 February 2012 - Amber India, Santana Row, San Jose, CA USA
- [sv-cc] Chair election - Call for votes
- [sv-cc] Chair Election procedure and Call for Nominations
- [sv-cc] Champion's email vote results
- [sv-cc] Champions feedback from April 10 conference call
- [sv-cc] Champions Issue Review and Minutes Posted
- [sv-cc] Champions meeting 1/4/05
- [sv-cc] champions meeting and more to do
- [sv-cc] Champions Meeting Minutes Posted
- [sv-cc] Champions results from Nov 17 conference call
- [sv-cc] Champions Role
- [sv-cc] Champions Spreadsheet for the 12/21/04 Meeting
- [sv-cc] Change "P1800-2005" in Annex F and G?
- [sv-cc] changes for 1503 uploaded
- [sv-cc] Changes for Draft 2
- [sv-cc] Changes reviewed, issues closed
- [sv-cc] Changes to draft 5
- [sv-cc] Changes to SV-CC database items
- [sv-cc] Checker variable BNF issue
- [sv-cc] Clarification from the P1800 committee on the Scope document
- [sv-cc] Clarification on my earlier mail regarding on strings
- [sv-cc] Clarifications
- [sv-cc] class defn, class var diagrams etc...
- [sv-cc] clause renumbering in 1364
- [sv-cc] Cliff's Config Proposal #4 (simplification)
- [sv-cc] clocking blocks in modports
- [sv-cc] Closed three issues ...
- [sv-cc] Closing ballot Mantis items
- [sv-cc] Closing out Mantis Items
- [sv-cc] collection of last comment reviews, issues and questions
- [sv-cc] collections in VHPI
- [sv-cc] Commenets on proposal for #158
- [sv-cc] comment on 1570
- [sv-cc] comment on 1570 -- true & thanks
- [sv-cc] Comments on 2182
- [sv-cc] Comments on latest Data Read VPI
- [sv-cc] Comments on latest Novas proposal
- [sv-cc] Comments on Michael's documents
- [sv-cc] Comments on proposed VPI for SystemVerilog
- [sv-cc] Comments on: Proposal uploaded for handling IP Encryption
- [sv-cc] Comments w.r.t. Section 29, SystemVerilog Data Read API
- [sv-cc] Compatibility Mode proposal (Mantis 1385) update
- [sv-cc] Compatibility Proposal update
- [sv-cc] Compatibility proposal updated (Mantis #1385)
- [sv-cc] Compatibility Proposal Updated for Mantis item #1385
- [sv-cc] Compatibility proposal uploaded for Mantis item #1385
- [sv-cc] Compiled meeting minutes from 11/12 (SV-CC F2F).
- [sv-cc] compiling and linking source code
- [sv-cc] Complete meeting minutes of 01/28/03
- [sv-cc] Completed issues
- [sv-cc] Concatenation on vpiIODecl - vpiExpr relation
- [sv-cc] concatenations and structure/array constructors
- [sv-cc] Conf call access
- [sv-cc] conf call?
- [sv-cc] Conf. Call for Today Wed. 5/19 is Cancelled
- [sv-cc] Configuration compiler directive for DPI-OO open array arguments
- [sv-cc] Confusion about the multidimensional open array in packed part of the formal argument of imported function.
- [sv-cc] Congratulation TEAM - SystemVerilog 3.1A Approved By Accellera Board
- [sv-cc] Consistency concerns from yesterday's meeting
- [sv-cc] Container class for open arrays
- [sv-cc] Context items: (1456,1488 mods), C setjmp/longjmp, pure/context
- [sv-cc] Copy of MTI's presentation for SV face-to-face
- [sv-cc] Copy of Packages_Sep_V8.pdf
- [sv-cc] Copy of the DVCon paper on scheduling semantics
- [sv-cc] Corrected Draft 5 is now available
- [sv-cc] Corrected file uploaded for Mantis 753
- [sv-cc] Corrected proposal 3459
- [sv-cc] Correction inputs for clause 36 dyn objects proposal
- [sv-cc] Correction to 2005 (deferred assertions), need SV-CC feedback ASAP
- [sv-cc] Correction to clocking block diagram
- [sv-cc] Correction to Compatibility proposal uploaded (#1385)
- [sv-cc] Correction to the wording of open vs dynamic arrays
- [sv-cc] Correction: here is David's proposed import/export LRM section
- [sv-cc] Correction: here is David's proposed import/export LRM section - Corrections
- [sv-cc] Corrections to my previous errata + new errata
- [sv-cc] Coverage and Assertion APIs chapters
- [sv-cc] Coverage API proposal (VPI extensions)
- [sv-cc] Coverage API v0.2
- [sv-cc] Coverage VPI extensions (v0.3)
- [sv-cc] Coverpoint bins & functional coverage
- [sv-cc] Created 727 for the constraint obj of 32.23
- [sv-cc] Cross-committee issues for 3.2 from SV-CC
- [sv-cc] Cut & Paste Versions of the draft LRMs Uploaded
- [sv-cc] DAC SystemVerilog-2012 Birds of a Feather
- [sv-cc] Database assignments
- [sv-cc] DATE tutorial presentation
- [sv-cc] Date: Fri, 28 Feb 2003 15:31:10 -0800
- [sv-cc] Date: Mon, 24 Mar 2003 13:01:02 -0500
- [sv-cc] Date: Thu, 17 Apr 2003 11:27:56 -0400
- [sv-cc] Date: Thu, 17 Apr 2003 11:59:12 -0400
- [sv-cc] David Smith's issues with open array LRM changes
- [sv-cc] David's update to our extern/export proposal
- [sv-cc] Deadline!
- [sv-cc] Decision criteria for meaning of vpiConstantSelect5 (Mantis 1726)
- [sv-cc] default values limitations for function/task arguments
- [sv-cc] Definition of full name in 1364
- [sv-cc] Definition of full name in 1364]
- [sv-cc] Definition of ref obj: 455 and 489
- [sv-cc] Detailed agenda for SV-CC face to face
- [sv-cc] Detailed comments on latest rev of Bassam's proposal
- [sv-cc] diagram 27.47 "Patterns" issues
- [sv-cc] Difference between 'inclusion' proposal and current simulator implementations
- [sv-cc] DirectC C Layer - (non-portable) access to data
- [sv-cc] DirectC C Layer - encoding of x/z
- [sv-cc] DirectC C Layer - revised (ascii)
- [sv-cc] DirectC C Layer - revised (pdf)
- [sv-cc] DirectC C Layer - revised - 'context' functions
- [sv-cc] DirectC C Layer - revised - pure functions
- [sv-cc] DirectC C side pdf
- [sv-cc] DirectC C side pdf - comments & explanations
- [sv-cc] DirectC layer: C array indices mapping for packed arrays
- [sv-cc] DirectC layer: C array indices mapping for unpacked arrays
- [sv-cc] DirectC layer: C array indices mapping question
- [sv-cc] DirectC: defaults for args, passing by name
- [sv-cc] DirectC: passing by name, restrictions
- [sv-cc] DirectC: passing by name, restrictions - summary + solution
- [sv-cc] DirectC: supporting references in DirectC
- [sv-cc] Disables and DPI interaction
- [sv-cc] discuss cc errata 267 at the next cc meeting
- [sv-cc] Discussing a face to face meeting at DVCon
- [sv-cc] Do mantis items exist for ballot comments #144, #165, #166?
- [sv-cc] Documentation updates
- [sv-cc] Documents for SV-CC errata meeting
- [sv-cc] dowloading draft 7
- [sv-cc] DPI 'context' mechanics (ref: item 1456)
- [sv-cc] DPI - supported data types
- [sv-cc] DPI and "rand" qualifers in general
- [sv-cc] DPI and rand qualifier (grammar)
- [sv-cc] DPI class/object reference passing feature
- [sv-cc] DPI context - setting/preserving&resetting a scope
- [sv-cc] DPI disable -- converging on fundamentals
- [sv-cc] DPI Errata list
- [sv-cc] DPI handling or rand/randc data
- [sv-cc] DPI import function properties - pure, context, none
- [sv-cc] DPI imported task can have dynamic array as formal argument??
- [sv-cc] DPI Task (and Fn) Index, Glossary material
- [sv-cc] DPI Task Index/Glossary (resend)
- [sv-cc] DPI tasks and function interaction with disable state ments
- [sv-cc] DPI tasks and function interaction with disable statements
- [sv-cc] DPI unions as parameters
- [sv-cc] DPI version
- [sv-cc] DPI with ACC?
- [sv-cc] DPI-OO alternatives
- [sv-cc] DPI-OO comments
- [sv-cc] DPI-OO proposal review
- [sv-cc] DPI-OO reference "counts"
- [sv-cc] DPI-OO: enums
- [sv-cc] DPI-OO: Open arrays as handles or STL vectors ?
- [sv-cc] DPI-OO: proposal to remove section "36. DPI-OO pragma directives"
- [sv-cc] DPI-OO: Should copy classes be represented as structs or classes in the intermediate layer ?
- [sv-cc] DPI-OO: unit32_t and DPI_OO::LogicVecValT
- [sv-cc] DPI: dynamic array cannot be passed for DPI open array output arg
- [sv-cc] DPI_OO: Summary of issues
- [sv-cc] dpi_spec_string: replace "DPI"/"DPI-3.1a" with "C"/"DPI"
- [sv-cc] Draft 2 of SystemVerilog LRM
- [sv-cc] Draft 3 of the LRM - errata + questions
- [sv-cc] Draft 3 of the LRM is now available
- [sv-cc] Draft 4 BNF Sneak Preview
- [sv-cc] Draft 4 change verification
- [sv-cc] Draft 4 Changes
- [sv-cc] Draft 4 LRM Issues
- [sv-cc] Draft 5 Changes Rev. Request - 4state Parts (no param change)
- [sv-cc] Draft 5 Changes Review Request
- [sv-cc] Draft 5 Changes Review Request - 4-state part select indexing
- [sv-cc] Draft 5 Changes Review Request: Part-Select
- [sv-cc] Draft 5 LRM
- [sv-cc] Draft 5 Review
- [sv-cc] Draft 5 Review changes
- [sv-cc] Draft 6
- [sv-cc] Draft 7 erratum in t_vpi_arrayvalue struct?
- [sv-cc] Draft 8 is almost ready
- [sv-cc] Draft 8 sv_vpi_user.h issues
- [sv-cc] draft LRM
- [sv-cc] Draft LRM Editing Process
- [sv-cc] Draft of the response to the P1800 committee
- [sv-cc] Draft proposal for DPI import/export syntax
- [sv-cc] Draft4 errata
- [sv-cc] Duplicate Manits item on vpiFile and vpiLineNo of vpiClassObj
- [sv-cc] Duplicate Mantis items for vpi_put_value() to dynamic objects
- [sv-cc] Duplicate Mantis items?
- [sv-cc] dynamic arrays and open arrays
- [sv-cc] dynamic arrays can be dynamic arrays of dynamic arrays
- [sv-cc] EC issues to be reviewed by the CC committee
- [sv-cc] eda-std.org
- [sv-cc] eda.org
- [sv-cc] eda.org is up and available.
- [sv-cc] EDA.org performance
- [sv-cc] EDA.org unavailable this weekend
- [sv-cc] Editing error in the Generates VPI diagram
- [sv-cc] Editing questions on new let diagrams
- [sv-cc] Editor comments on reviewing draft8-preliminary
- [sv-cc] Editor questions on p. 792.
- [sv-cc] Editor's Notes in 3.1a Draft 3 LRM
- [sv-cc] elaboration time calls of DPI functions
- [sv-cc] Email ballot on Item 734
- [sv-cc] Email problems
- [sv-cc] Email problems with AOL accounts
- [sv-cc] Email vote - ending August 13th
- [sv-cc] email vote [53,62,77,342]
- [sv-cc] Emailing: f2f_notes.pdf
- [sv-cc] Emergency SV-CC meeting to address Mantis Item 2582
- [sv-cc] encryption & vpi - sv #345
- [sv-cc] err 373
- [sv-cc] err 373 - Abstain
- [sv-cc] err 464
- [sv-cc] err 465
- [sv-cc] err 484
- [sv-cc] err373-3.pdf and err373-3.fm uploaded
- [sv-cc] Errata #63, #158, #330 amended as discussed in today's meeting
- [sv-cc] errata 279 is duplicate of 278
- [sv-cc] Errata 288 updated
- [sv-cc] errata 373
- [sv-cc] errata 40
- [sv-cc] errata 457
- [sv-cc] errata 458
- [sv-cc] errata 465 new proposal uploaded.
- [sv-cc] errata 56
- [sv-cc] errata 64
- [sv-cc] Errata 72 updated
- [sv-cc] errata 80
- [sv-cc] errata for open arrays: BNF & LRM
- [sv-cc] Errata for SV3.1A (2 typos)
- [sv-cc] Errata for SV3.1A (3 section references)
- [sv-cc] Errata for SV3.1A (Imported Task Return Type)
- [sv-cc] Errata for SV3.1A (Multiple Packed Array Dimensions)
- [sv-cc] errata PTF 524
- [sv-cc] Errata: vpiFuncType
- [sv-cc] Errata? Handling DPI parameters of type 'reg'
- [sv-cc] Erroneously fixed Mantis item
- [sv-cc] Error in VPI properties specified for DPI support
- [sv-cc] Errors in LRM draft6 to add
- [sv-cc] Example "foo7" added to 274's proposal
- [sv-cc] example code errors in I.12.9 ?
- [sv-cc] Example header file for discussion today
- [sv-cc] extern uses for declaring tasks and functions
- [sv-cc] extern/export - some thoughts
- [sv-cc] extern/export function declarations
- [sv-cc] F2F telecon and other logistics info
- [sv-cc] Face to face Meeting notice
- [sv-cc] Face to Face presentations on the web
- [sv-cc] Face-to-face meeting?
- [sv-cc] Feedback about Mantis 1752
- [sv-cc] feedback for mantis item 3423
- [sv-cc] Feedback for VPI extension (assertions)
- [sv-cc] Feedback on Compatibility Proposal
- [sv-cc] Feedback on revision 0.7 Section 1 - Direct Programming Interface (DPI)
- [sv-cc] Feedback on revision 0.8
- [sv-cc] Feedback to the Champions committee.
- [sv-cc] Feedback/issues re. #2182 (VPI Checkers proposal)
- [sv-cc] Files are uploaded
- [sv-cc] Filing IEEE interpretations
- [sv-cc] Final agenda and meeting reminder for sv-cc face-to-face 11/12
- [sv-cc] Final agenda for the f2f on 1/23
- [sv-cc] Final call for SV-CC LRM editing comments
- [sv-cc] Final compatibility proposal updated for Mantis #1385
- [sv-cc] Final IEEE P1800 & P1364 Drafts
- [sv-cc] Final LRM corrections from sv-cc
- [sv-cc] Final proposal for user data management
- [sv-cc] Final revision of DPI imported tasks proposal
- [sv-cc] Final version of sv-cc #50 is uploaded
- [sv-cc] Fixes for Mantis item 2182 (checker VPI)
- [sv-cc] Fixes needed for sv_dpi_user.h
- [sv-cc] Food for thought
- [sv-cc] fork join VPI access
- [sv-cc] Formatting issue in 37.33
- [sv-cc] FrameMaker files uploaded
- [sv-cc] Freescale SV-CC enhancement request
- [sv-cc] Full Committee SystemVerilog On November 14 - AT Mentor Graphics - San Jose
- [sv-cc] Full SV meeting planned for February 19.
- [sv-cc] Further comments on Novas proposal
- [sv-cc] Fwd: [sv-bc] Cadence Negative Ballot Comment on SystemVerilog 3.1
- [sv-cc] Fwd: READ api issues
- [sv-cc] Fwd: Submission from ["Duncan, Ralph" <ralph_duncan@mentorg.com>]
- [sv-cc] Fwd: Update to Scheduling proposal for reballot issue
- [sv-cc] FYI- Minor compatibility proposal update (#1385)
- [sv-cc] FYI: extern
- [sv-cc] FYI: VPI and READ API
- [sv-cc] General idea for DPI qualifiers
- [sv-cc] Glossary
- [sv-cc] Handle lifetimes for one-time callbacks
- [sv-cc] Handle persistence as separate issue
- [sv-cc] Handling trivial issues
- [sv-cc] Handling trivial issues - Bayesian Filter detected spam
- [sv-cc] Header file names?
- [sv-cc] Heads up -- VPI object model for type parameters
- [sv-cc] How do I call a specific instance of an exported function ?
- [sv-cc] How to work with Mantis
- [sv-cc] I added a bugnote for my analysis of 706
- [sv-cc] I added a bugnote to 480
- [sv-cc] I entered mantis item 672 for %m enhancements
- [sv-cc] I have updated the proposals for Mantis item #1403 ...
- [sv-cc] I have uploaded a PDF with the proposal for Item 1431
- [sv-cc] I updated errata 80
- [sv-cc] Idea about getting the DPI-OO import info directly from the header files
- [sv-cc] Idea to allow $system calls via the DPI
- [sv-cc] IEEE 1800-2009 Release Celebration Dinner
- [sv-cc] IEEE Ballot Draft 9 FINAL Available for Download
- [sv-cc] IEEE Ballot Draft 9 Preliminary 2 Available for Download
- [sv-cc] IEEE Ballot Draft 9 Preliminary Available for Download
- [sv-cc] IEEE Ballot Draft 9 UPDATED (V2) FINAL Clean Version Available for Download
- [sv-cc] IEEE P1800 Ballot Draft Version Read for Download
- [sv-cc] IEEE P1800 Draft 5 Preliminary Version #1 LRM Available
- [sv-cc] IEEE P1800 Draft 5 Preliminary Version #2 LRM is Available
- [sv-cc] IEEE P1800 Draft 6 Available for Download
- [sv-cc] IEEE P1800 Draft 7 Available for Download
- [sv-cc] IEEE P1800 Draft 7a Available for Download
- [sv-cc] IEEE P1800 Draft 8 Available for Download
- [sv-cc] IEEE P1800 Draft2 Available
- [sv-cc] IEEE P1800 SystemVerilog Draft 4 Available
- [sv-cc] IEEE P1800 WG Meeting
- [sv-cc] IEEE P1800-2008 Draft 3 Available for Download
- [sv-cc] IEEE P1800-2008 Draft 3a Available for Download
- [sv-cc] IEEE P1800-2012 SystemVerilog LRM Draft 5 (Ballot Version) Uploaded to mentor.ieee.org
- [sv-cc] IEEE participation rules and TC cutoff date
- [sv-cc] IEEE Site for SystemVerilog & Verilog Drafts
- [sv-cc] IEEE Std 1364-2005 is available on IEEE Xplore
- [sv-cc] IEEE Std 1800-2009 Is Published - Available for Purchase & Download
- [sv-cc] IEEE Std. 1800-2005 Available for Download
- [sv-cc] IEEE Std. 1800-2005 Available for Purchase
- [sv-cc] Immediate items - my observations and opinions
- [sv-cc] Immediate items that don't have a proposal
- [sv-cc] Implementation of LRM changes
- [sv-cc] Import fn return value datatype: default issue
- [sv-cc] Import grammar proposal
- [sv-cc] Import/export
- [sv-cc] import/export function result types
- [sv-cc] IMPORTANT - NEW PHONE INFO FOR SV-CC WEEKLY CONF. CALL
- [sv-cc] Inclusion file appendix
- [sv-cc] Inconsequences in Class definition object diagram
- [sv-cc] Index array correspondence: combining two insights
- [sv-cc] Index for Reader VPI chapter
- [sv-cc] Informal poll on constant naming convention
- [sv-cc] information on SV-AC items and efforts
- [sv-cc] Initial Draft Specifications Available for Download
- [sv-cc] initial values in VCD
- [sv-cc] Input for the next PAR
- [sv-cc] instance specific exports
- [sv-cc] integrating directC code
- [sv-cc] Interface task or function declaration - clarification needed
- [sv-cc] Interpretation problem with vpiClasObj
- [sv-cc] Is there a CC meeting today?
- [sv-cc] Issue # 338
- [sv-cc] Issue #266 - Negative vote from Entity #6 - Version #2
- [sv-cc] Issue #266 - Negative vote from Entity #6 - Version #3
- [sv-cc] Issue #266 - Proposal Version 6
- [sv-cc] Issue #266 - Rev 5
- [sv-cc] Issue #337: Informative Table 32-1
- [sv-cc] Issue #58
- [sv-cc] Issue #61
- [sv-cc] Issue #65
- [sv-cc] Issue #65 -- clarification
- [sv-cc] issue 1.7
- [sv-cc] Issue 205 summary 10/05/04
- [sv-cc] Issue 352 has a proposal
- [sv-cc] Issue 467: 32.21 extend or extends?
- [sv-cc] Issue 468
- [sv-cc] Issue 529 now a duplicate
- [sv-cc] Issues found in my review.
- [sv-cc] Issues from the SVDB
- [sv-cc] Issues no longer at issue
- [sv-cc] issues on assertions/properties
- [sv-cc] Issues Spreadsheet Ready for Champions Review
- [sv-cc] Issues with Draft 4 LRM
- [sv-cc] Item #1230 set to feedback
- [sv-cc] Item #50 comments?
- [sv-cc] Item 1322 (DPI unions): new write-up
- [sv-cc] Item 1503: assertions and clocking blocks
- [sv-cc] Item 160 (Fix fine but one other nit)
- [sv-cc] Item 160 cross-reference & item 386
- [sv-cc] Item 160: define 'linearize' multiple packed dimensions
- [sv-cc] Item 205 proposal: errors and changes
- [sv-cc] Item 205, proposal ready, summary
- [sv-cc] Item 205: 64-bit concerns
- [sv-cc] Item 313: PTF 296: Generate stmts will need change made in VPI
- [sv-cc] item 447
- [sv-cc] Item 49 (fn return result): ready
- [sv-cc] item 50 again
- [sv-cc] item 50 again (string name)
- [sv-cc] Item 526 (vpiValid): issues and concerns
- [sv-cc] Item 536 and a general request
- [sv-cc] item 729
- [sv-cc] Item for agenda
- [sv-cc] Items for post 3.1
- [sv-cc] Joint proposal for SV-CC #50 ready for review
- [sv-cc] July 2nd SV-CC meeting is cancelled
- [sv-cc] Lack of vpiInterfaceTypespec in sv_vpi_user.h
- [sv-cc] Last 2 email votes for 1364
- [sv-cc] Last Call - RSVP for IEEE P1800 WG Meeting
- [sv-cc] Latest revision of the Synopsys VPI Extensions donation
- [sv-cc] Latest set of Mantis Items with Proposals
- [sv-cc] Leaving Intel
- [sv-cc] Let's try to do an email vote.
- [sv-cc] List of champions of SV committees
- [sv-cc] List of issues Synopsys VPI extension donation presentation - part II
- [sv-cc] list of items/owners
- [sv-cc] List of Mantis Items for tomorrow's discussion
- [sv-cc] List of SV-CC Mantis Items still open
- [sv-cc] Location for -- Full Committee SystemVerilog On November 14 - AT Mentor Graphics - San Jose
- [sv-cc] Login to bug system
- [sv-cc] Looking for proposals on DirectC/other names
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Asse rtion API" (UPDATED: 12/3/03)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API"
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" (--updated 12/3/03)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" (UPDATED: 12/3/03)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" (UPDATED: 12/5/03)
- [sv-cc] LRM Changes for Draft 5
- [sv-cc] LRM Draft 4 changes complete
- [sv-cc] LRM Draft 4 Status
- [sv-cc] LRM Draft 5
- [sv-cc] LRM Draft 5 Initial List of Issues
- [sv-cc] LRM edits for "the gnarly thread"
- [sv-cc] LRM errata (posted already on website)
- [sv-cc] LRM Milestones dates and Committee Voting
- [sv-cc] LRM modifications for svGet/PutUserData proposal
- [sv-cc] LRM Request tracking
- [sv-cc] LRM Review Issues (IMPORTANT)
- [sv-cc] LRM_38
- [sv-cc] Mail freom Charles Dawson <chas@cadence.com>
- [sv-cc] Mail from ["Clifford E. Cummings" <cliffc@sunburst-de sign.com>]
- [sv-cc] Mail from ["Clifford E. Cummings" <cliffc@sunburst-design.com>]
- [sv-cc] Mail from Arturo Salz
- [sv-cc] Mail from Stefen Boyd <stefen@boyd.com> on BNF
- [sv-cc] Mail reflectors will be unreliable on Saturday
- [sv-cc] Mantis #1395 (unsized, packed dimensions): proposal
- [sv-cc] Mantis #1688 Frame version of proposal uploaded
- [sv-cc] Mantis #1688 proposal finalized w. friendly amendment
- [sv-cc] Mantis #2346 entered
- [sv-cc] Mantis #2355 logged re. putting values on forced nets
- [sv-cc] Mantis #2447 just filed
- [sv-cc] Mantis #3087: new revision (1.0.2) uploaded
- [sv-cc] Mantis #3192
- [sv-cc] Mantis #3737 and #3192 updates completed
- [sv-cc] Mantis #658 (1364 Notes proposal) updated
- [sv-cc] Mantis 1434
- [sv-cc] Mantis 1465
- [sv-cc] mantis 1503
- [sv-cc] Mantis 1570: integer and time types
- [sv-cc] mantis 1648
- [sv-cc] Mantis 1775 fixes
- [sv-cc] Mantis 1775 proposal replaced
- [sv-cc] Mantis 1835 proposed changes - rough draft
- [sv-cc] Mantis 1900 review, 17.5 - end
- [sv-cc] mantis 2226 action completed
- [sv-cc] Mantis 2359
- [sv-cc] Mantis 2396 (edge) review
- [sv-cc] Mantis 2468 values needed
- [sv-cc] Mantis 2572
- [sv-cc] Mantis 3022
- [sv-cc] Mantis 3069: Relax rules for $global_clock resolution
- [sv-cc] Mantis 3069: Relax rules for $global_clock resolution (reminder)
- [sv-cc] Mantis 3087 Uses of comment pragmas instead of attributes
- [sv-cc] Mantis 3087, revision 1.0.2
- [sv-cc] Mantis 3087: DPI-OO parameterized class with a copy class parameter
- [sv-cc] Mantis 3116
- [sv-cc] Mantis 3192 and 757
- [sv-cc] Mantis 3232 for simple editorial issues
- [sv-cc] Mantis 3737 (AA ranges) proposal updated
- [sv-cc] Mantis 4132
- [sv-cc] Mantis 742 has been placed into the feedback state
- [sv-cc] Mantis 757 proposal updated
- [sv-cc] mantis : 496
- [sv-cc] mantis : 606
- [sv-cc] Mantis data base has been update
- [sv-cc] Mantis issues left in the resolved state
- [sv-cc] Mantis item #1385 entered
- [sv-cc] Mantis item #1477 proposal updated
- [sv-cc] Mantis item #1766 entered.
- [sv-cc] Mantis item #2009 entered w. proposal
- [sv-cc] Mantis item #2226
- [sv-cc] Mantis item #3737 re. ranges of AAs
- [sv-cc] Mantis Item #536 ready for review
- [sv-cc] Mantis item + proposal for deprecating "Reader API" from sv_vpi_user.h
- [sv-cc] Mantis item 1343 updated proposal
- [sv-cc] Mantis item 1367 updated
- [sv-cc] Mantis item 1395: Open arrays: unsized packed dimensions
- [sv-cc] mantis item 1431 for vpiChandleVar/vpiChandleTypespec
- [sv-cc] Mantis item 1456 DPI context calls and utilities
- [sv-cc] Mantis item 1477
- [sv-cc] Mantis item 1488: Context clarification
- [sv-cc] Mantis item 1503: Redoing the formal argument declarations
- [sv-cc] Mantis item 1579 replaced by 1603
- [sv-cc] Mantis item 1581 already done
- [sv-cc] mantis item 1603 (champions review)
- [sv-cc] Mantis item 1648 contains some VPI diagram updates
- [sv-cc] Mantis item 1649 is no longer an issue
- [sv-cc] Mantis item 1652
- [sv-cc] Mantis item 1653
- [sv-cc] Mantis item 1682 makes some updates to callbacks
- [sv-cc] Mantis item 1702 has some updates that may affect sv-cc
- [sv-cc] Mantis item 1751 updated
- [sv-cc] mantis item 1757
- [sv-cc] mantis item 1764
- [sv-cc] mantis item 1898
- [sv-cc] Mantis item 2037 has some updates that may affect sv-cc
- [sv-cc] mantis item 2099
- [sv-cc] Mantis item 2226: constraints
- [sv-cc] Mantis item 2226: Memory allocation schemes
- [sv-cc] Mantis item 2226: Value change callback changes
- [sv-cc] Mantis item 2504 closed in D8
- [sv-cc] Mantis item 3599
- [sv-cc] Mantis item 45 can be considered fixed.
- [sv-cc] Mantis item 742 has been moved to the feedback state
- [sv-cc] Mantis item 744
- [sv-cc] Mantis item 791 incomplete?
- [sv-cc] Mantis item 890 is about to be voted on
- [sv-cc] Mantis item 985
- [sv-cc] Mantis item 985 -- fixed but abandoned
- [sv-cc] Mantis item and proposal -- class specializations
- [sv-cc] Mantis item and proposal -- simple editorial
- [sv-cc] Mantis item and proposal for vpi_compare_objects()
- [sv-cc] Mantis item entered
- [sv-cc] Mantis item entered FYI.
- [sv-cc] Mantis item for "null arguments"
- [sv-cc] Mantis item updates
- [sv-cc] Mantis item/proposal on vpiFile and vpiLineNo
- [sv-cc] Mantis items 1322, 1343: proposals
- [sv-cc] Mantis items 1503 and 2237 (VPI parts)
- [sv-cc] Mantis Items 2010-05-17
- [sv-cc] Mantis items 305 and 306
- [sv-cc] Mantis items against Draft 6 which have not yet been reviewed
- [sv-cc] Mantis Items for Ballot comments
- [sv-cc] Mantis items that need to be reviewed in Draft 6
- [sv-cc] Mantis Items with Proposals
- [sv-cc] Mantis listings
- [sv-cc] Mantis outages - now resolved
- [sv-cc] Mantis proposal on vpiConstantSelect
- [sv-cc] Mantis proposal update for #1477
- [sv-cc] Mantis proposal uploaded for 4130- please review
- [sv-cc] Mantis updates to 1684 and 1942
- [sv-cc] Mantis upgrade
- [sv-cc] Mantis went down this morning and is now back up
- [sv-cc] March 2009 P1800 Ballot Comments
- [sv-cc] Mark Item 2086 as duplicate of 1942?
- [sv-cc] Marked-up comments to #3087
- [sv-cc] Marking 529 as duplicate
- [sv-cc] Master list of SV-CC Mantis Items
- [sv-cc] meeting
- [sv-cc] Meeting Call Set up for Friday 9:00 AM PST
- [sv-cc] Meeting Invitation: P1800-2012 Ballot Resolution
- [sv-cc] Meeting invitation: SV-CC Dynamic Objects - Part 1
- [sv-cc] Meeting invitation: SV-CC Dynamic Objects - Part 2
- [sv-cc] meeting is on.
- [sv-cc] Meeting minutes
- [sv-cc] Meeting minutes - 07/21/04
- [sv-cc] Meeting minutes 11/26/03
- [sv-cc] Meeting Minutes 12/15/2004
- [sv-cc] Meeting Minutes 12/15/2004 with revised attendance
- [sv-cc] Meeting minutes 12/16/04
- [sv-cc] Meeting minutes 2/11/03
- [sv-cc] meeting minutes 3/4
- [sv-cc] Meeting minutes 4/2/03
- [sv-cc] Meeting minutes for 01/31/2007
- [sv-cc] Meeting minutes for 02/14/2007
- [sv-cc] Meeting minutes for 02/20/2007
- [sv-cc] Meeting minutes for 02/20/2007 - second draft
- [sv-cc] Meeting minutes for 03/14/2007
- [sv-cc] Meeting minutes for 03/28/2007
- [sv-cc] Meeting minutes for 04/11/2007
- [sv-cc] Meeting minutes for 04/25/2007
- [sv-cc] meeting minutes for 05/01/2007
- [sv-cc] Meeting minutes for 05/09/2007
- [sv-cc] Meeting minutes for 05/23/2007
- [sv-cc] Meeting minutes for 06/06/2007
- [sv-cc] Meeting minutes for 06/20/2007
- [sv-cc] Meeting minutes for 4/30/2007
- [sv-cc] Meeting minutes for Feb 5, 2003
- [sv-cc] Meeting minutes for Feb 5, 2003 - amended
- [sv-cc] Meeting minutes for Mar. 12, 2003
- [sv-cc] Meeting minutes for SV-CC 03/27
- [sv-cc] Meeting minutes from 23-Jul-2003 - SV-CC
- [sv-cc] Meeting minutes, July 16, 2003
- [sv-cc] Meeting Minutes: SV-CC Special Meeting 01-12-2004
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting - 10-22-03
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 02/25/04
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 03/17/04
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 08/11/2004
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 12-10-2003
- [sv-cc] Meeting next week?
- [sv-cc] Meeting plans
- [sv-cc] Meeting reminder - 01/21/04
- [sv-cc] Meeting reminder - 01/28/03
- [sv-cc] Meeting reminder - 02/11
- [sv-cc] Meeting reminder - 03/05/03
- [sv-cc] Meeting reminder - 03/12/03
- [sv-cc] Meeting reminder - 03/17
- [sv-cc] Meeting reminder - 03/18/03
- [sv-cc] Meeting reminder - 03/26/03
- [sv-cc] Meeting reminder - 04/01/03
- [sv-cc] Meeting reminder - 04/08/03
- [sv-cc] Meeting reminder - 04/21/2004
- [sv-cc] Meeting reminder - 07/09/03
- [sv-cc] Meeting reminder - 07/21/04
- [sv-cc] Meeting reminder - 08/20/03
- [sv-cc] Meeting reminder 01/07
- [sv-cc] Meeting Reminder 01/13/04
- [sv-cc] Meeting reminder 01/28/04
- [sv-cc] Meeting reminder 02/04/03
- [sv-cc] Meeting reminder 02/04/03 - Call Info
- [sv-cc] Meeting reminder 02/05/03
- [sv-cc] Meeting reminder 02/18/03
- [sv-cc] Meeting reminder 02/25
- [sv-cc] Meeting reminder 03/04/03
- [sv-cc] Meeting reminder 03/11/03
- [sv-cc] Meeting reminder 03/12/03
- [sv-cc] Meeting reminder 03/25/03
- [sv-cc] Meeting reminder 04/14/04
- [sv-cc] Meeting reminder 07/16/03
- [sv-cc] Meeting reminder 07/22/03
- [sv-cc] Meeting reminder 07/22/03 - BNF for dpi_function_proto
- [sv-cc] Meeting reminder 07/30/03
- [sv-cc] Meeting reminder 08/06
- [sv-cc] Meeting reminder 09/24
- [sv-cc] Meeting reminder 10/08
- [sv-cc] Meeting reminder 10/1
- [sv-cc] Meeting reminder 10/15
- [sv-cc] Meeting reminder 10/22
- [sv-cc] Meeting reminder 10/29/03
- [sv-cc] Meeting reminder 11/05
- [sv-cc] Meeting reminder 11/19
- [sv-cc] Meeting reminder 11/26/03
- [sv-cc] Meeting reminder 12/10/03
- [sv-cc] Meeting reminder 12/17
- [sv-cc] Meeting reminder for 01/11/03
- [sv-cc] Meeting reminder: 04/22/03
- [sv-cc] Meeting schedule going forward.
- [sv-cc] Meeting starting at noon EDT today
- [sv-cc] Meeting this Weds
- [sv-cc] Meeting this week
- [sv-cc] Meeting this week?
- [sv-cc] Meeting today at 12'oclock east coast time
- [sv-cc] Meeting Today Moved to 04/02/03
- [sv-cc] Meeting today: agenda
- [sv-cc] Meeting tomorrow
- [sv-cc] Meeting tomorrow - agenda
- [sv-cc] Meeting Update: P1800-2012 Ballot Resolution
- [sv-cc] Message Forward - from Kausik Datta --- packed struct/union semantic
- [sv-cc] Message Forward - from Kausik Datta --- packed struct/union semantic -Second Question
- [sv-cc] Message from Karen
- [sv-cc] Milestones Adjustments For SystemVerilog 3.1A
- [sv-cc] Minor bug submitted
- [sv-cc] Minor changes for final LRM
- [sv-cc] Minor comments on VPI extensions doc
- [sv-cc] Minor correction to vpiParent_std.pdf proposal for Mantis #1684
- [sv-cc] Minor corrections to 3423 prop
- [sv-cc] Minor erratum in I.9.2
- [sv-cc] Minor Mantis 3737 proposal update
- [sv-cc] Minor update to 1385 Compatibility proposal
- [sv-cc] Minor update to Names proposal (#1593)
- [sv-cc] Minor update to packed arrays proposal (#1230)
- [sv-cc] Minor update to vpiParent_std.pdf
- [sv-cc] Minutes 02-25-2003
- [sv-cc] Minutes and presentations from the Face to face meeting
- [sv-cc] Minutes and slides from today's face-to-face meeting
- [sv-cc] Minutes for 04/08/03 meeting
- [sv-cc] Minutes for 08/06/2003
- [sv-cc] Minutes for 1/23/04 meeting
- [sv-cc] Minutes for 10/15/03 SV-CC Meeting
- [sv-cc] Minutes for 10/29/03 SV-CC Meeting
- [sv-cc] Minutes for SV-CC meeting 03/27/03
- [sv-cc] Minutes for the SV-CC Conference Call @ 22-Apr-2003
- [sv-cc] Minutes from 14 November 2003 Face-to-face meeting.
- [sv-cc] Minutes from SV-CC conference call 25-Mar-2003
- [sv-cc] Minutes from SV-CC conference call at 21-Jan-2004
- [sv-cc] Minutes from the 28 February 2003 SV face-to-face.
- [sv-cc] Minutes from the Champion's Aug 7th conference call
- [sv-cc] Minutes from the SystemVerilog conference call 11-Feb-2004
- [sv-cc] Minutes from today's meeting
- [sv-cc] Minutes Jan 07 2004
- [sv-cc] Minutes October 8, 2003
- [sv-cc] Minutes of SV-CC meeting on 04/14/2004
- [sv-cc] Minutes of the Conference Call - SV-CC committee 17-Dec-2003
- [sv-cc] Minutes of the special SV-CC conference call on 23-Apr-2004
- [sv-cc] Minutes of the SV-CC conf call 5-Nov-2003
- [sv-cc] Minutes of the SV-CC conf call 5-Nov-2003 [revised upon input from Avinash]
- [sv-cc] Minutes of the SV-CC conf call on 19-Nov-2003
- [sv-cc] Minutes of the SV-CC Conference Call 18-Feb-2003
- [sv-cc] Minutes of the SV-CC Conference Call 5-Mar-2003
- [sv-cc] Minutes of the SV-CC conference call at 31-Sep-2003
- [sv-cc] Mixed-language call chains: Disable States
- [sv-cc] Modifications to 1456 and 1488
- [sv-cc] Modified "perspectives from a user" examples
- [sv-cc] Modified Get/Put User Data Proposal
- [sv-cc] Modified Get/Put User Data Proposal - function vs. mo dule scope
- [sv-cc] Modified Get/Put User Data Proposal - function vs. module scope
- [sv-cc] Modified proposal for 3127
- [sv-cc] modified sv_vpi_user.h to test
- [sv-cc] more about import/export
- [sv-cc] more assertion issues
- [sv-cc] More Attendees for Full SV meeting on February 28
- [sv-cc] More comments
- [sv-cc] More errata in DPI parts of LRM
- [sv-cc] More information on C ABI's for those interested
- [sv-cc] More minutes for the face2face (11/12/2003): Bassam's read/write presentation minutes
- [sv-cc] More SV draft6 LRM problems
- [sv-cc] More trivial items
- [sv-cc] More uploads ...
- [sv-cc] Moribund Mantis items
- [sv-cc] MSDN info on _MSC_VER vs. _WIN32
- [sv-cc] MTI donation for exported tasks
- [sv-cc] Multidimensional dynamic array in DPI-C
- [sv-cc] Multiple NUL Terminated Strings (WAS: Clarification on my earlier mail regarding on strings)
- [sv-cc] Multivendor compatibility -- standard vs. example?
- [sv-cc] My completed Mantis item review
- [sv-cc] My draft review
- [sv-cc] My proposals
- [sv-cc] My vote on issue 1.9
- [sv-cc] Name of t/f vpiRefObj
- [sv-cc] Names proposal uploaded
- [sv-cc] Need another VPI number allocation from IEEE
- [sv-cc] Need clarification on erratum 62
- [sv-cc] Need more explicit change instructions for Mantis 265
- [sv-cc] Need to meet today or early tomorrow.
- [sv-cc] Need to vote on Item 2226
- [sv-cc] Need your review of Mantis item 1741
- [sv-cc] Need your schedule to plan upcoming meetings
- [sv-cc] New (final) proposal for #333 ...
- [sv-cc] New Bug System
- [sv-cc] New bugs files
- [sv-cc] New correction, section F.2 (no LRM number yet)
- [sv-cc] New DPI item, with proposal
- [sv-cc] New erratas on Vpi
- [sv-cc] New erratum with proposal
- [sv-cc] New guidelines for P1800 mantis proposals
- [sv-cc] New issue and proposal: 1700
- [sv-cc] New issue with proposal
- [sv-cc] New issue, new proposal (vpiVirtual for constraints)
- [sv-cc] New issue, new proposal ...
- [sv-cc] New keywords in SV-AC proposals
- [sv-cc] New LRM Changes
- [sv-cc] New Mantis #3115 added
- [sv-cc] New mantis item
- [sv-cc] New Mantis item #385 added
- [sv-cc] New Mantis item (274) on DPI's svBitVec32
- [sv-cc] New Mantis item (274) on DPI's svBitVec32 --> open arrays 27.1.2
- [sv-cc] New Mantis item 1579
- [sv-cc] New Mantis item 1946 + proposals
- [sv-cc] New Mantis item 1947 + proposal
- [sv-cc] New Mantis item 733 -- complete with proposal
- [sv-cc] New Mantis item and proposal
- [sv-cc] New Mantis item and proposal (vpiParent of part-select)
- [sv-cc] New Mantis item for vpiIndexTypespec
- [sv-cc] New Mantis item w. proposal added
- [sv-cc] New Mantis item with proposal
- [sv-cc] New Mantis item, new proposal
- [sv-cc] New Mantis items w. proposals filed
- [sv-cc] New Operating Procedures
- [sv-cc] New P1800 technical committee - Call For Participation
- [sv-cc] New P1800 technical committee - Call For Participation - reminder
- [sv-cc] New paired proposal for 526
- [sv-cc] New proposal for 3116 and minor change to 1477
- [sv-cc] New proposal for 3127
- [sv-cc] New proposal for 526
- [sv-cc] New proposal for 533
- [sv-cc] New proposal for Mantis item 1952 (Empty arguments)
- [sv-cc] New rev. of the read API
- [sv-cc] New revision for VPI read/write doc
- [sv-cc] New revision of data read VPI section
- [sv-cc] New rules for SystemVerilog Technical Committees
- [sv-cc] New SystemVerilog alias sv-sc
- [sv-cc] New version of #736 proposal uploaded
- [sv-cc] New version of SV-CC 201 is uploaded
- [sv-cc] Next meeting
- [sv-cc] Next meeting will start 30 minutes early and run for 1.5 hours
- [sv-cc] Next rev of DPI task proposal
- [sv-cc] Next SV-CC Conf. Call Info
- [sv-cc] Next SV-CC face to face meeting
- [sv-cc] Next SV-CC meeting
- [sv-cc] Next SV-CC Meeting - August 11, 2004
- [sv-cc] Next SV_CC Meeting - Friday 1/23/2004
- [sv-cc] nitpick with svGetPartSelectBit()
- [sv-cc] No call today ?
- [sv-cc] No meeting today (04/07)
- [sv-cc] No meeting today (06/02) and some announcements
- [sv-cc] No meeting today...
- [sv-cc] No meeting today... direction going forward
- [sv-cc] No meeting tomorrow
- [sv-cc] No meeting tomorrow (02/12)
- [sv-cc] No meeting tomorrow (03/24) and ...
- [sv-cc] No meeting tomorrow (03/31)
- [sv-cc] No meeting tomorrow (04/28)
- [sv-cc] No meeting tomorrow (04/29)
- [sv-cc] No meeting tomorrow (05/05)
- [sv-cc] No meeting tomorrow (05/12); AI for Joao
- [sv-cc] No meeting tomorrow (05/20)
- [sv-cc] No meeting tomorrow (05/26), AI for Joao
- [sv-cc] No meeting tomorrow (06/03)
- [sv-cc] No meeting tomorrow (06/17)
- [sv-cc] No meeting tomorrow (07/26), but there will be one on 08/04/04
- [sv-cc] No meeting tomorrow (08/13)
- [sv-cc] No meeting tomorrow (08/27)
- [sv-cc] No meeting tomorrow (09/03) and some comments
- [sv-cc] No meeting tomorrow - 02/18
- [sv-cc] No meeting tomorrow - please read
- [sv-cc] No meeting tomorrow AND VOTING STARTS
- [sv-cc] No meeting until end of this month
- [sv-cc] No meetings on 09/10 and 09/17
- [sv-cc] No SV-CC Meeting on 05/06 and future meeting schedule
- [sv-cc] No SV-CC meeting today
- [sv-cc] no VPI changes needed for 1668
- [sv-cc] Non-member submissions from last night on types on nets
- [sv-cc] Notes from 26 February 2010 SystemVerilog Requirements Gathering Meeting
- [sv-cc] Notes from the editor regarding P1800/D5
- [sv-cc] Novas donation on VCD
- [sv-cc] Novas donation to SystemVerilog value change data access
- [sv-cc] Novas VCD donation LRM correction
- [sv-cc] Novas VPI donation in LRM form
- [sv-cc] Object of class of objects as a property
- [sv-cc] Old-style function prototypes in svdpi.h
- [sv-cc] On TRUE/true and FALSE/false
- [sv-cc] Oops - a possible problem with 2nd get/set user data proposal
- [sv-cc] open issue: exported function = virtual function?
- [sv-cc] open or dynamic arrays
- [sv-cc] openArray::size() method
- [sv-cc] Operating Guidelines - have been updated
- [sv-cc] Opinion on merging of P1364 and P1800
- [sv-cc] Other errata for VPI
- [sv-cc] out until April 14th
- [sv-cc] oversight in 3.1a draft3 27.4.5 Function result - missing scalars
- [sv-cc] Overview for Mantis item 3087
- [sv-cc] P1364 Draft 7 (preliminary) available for review
- [sv-cc] P1364 meeting minutes and email ballot
- [sv-cc] P1800 and P1364 were approved by RevCom
- [sv-cc] P1800 issues ready for Champions review
- [sv-cc] P1800 Meeting with Jeita
- [sv-cc] P1800 Technical Committee - working direction
- [sv-cc] P1800 WG outcome
- [sv-cc] P1800/D9-preliminary
- [sv-cc] Packed Array macros (205, 278)
- [sv-cc] Packed array proposal (Mantis #1230) updated
- [sv-cc] Packed arrays proposal (Mantis #1230) uploaded
- [sv-cc] Packed Type Compatibility: alternative approaches
- [sv-cc] Packed types (205): discovery and request
- [sv-cc] Packed-array summary uploaded to Mantis #1230
- [sv-cc] Packed-arrays and related improvements proposal
- [sv-cc] Packed-arrays of packed-structs info
- [sv-cc] Page numbers in the Mantis items
- [sv-cc] parameter diagram
- [sv-cc] Parameters in VPI
- [sv-cc] Part Select Utilities: Semantic Clarification
- [sv-cc] Part Select Utilities: Zeroing and Width parameter
- [sv-cc] Partial proposals - Issue #266 - Negative vote from Entity #6
- [sv-cc] partitioning of the review
- [sv-cc] Patent 6,141,630 From Verisity
- [sv-cc] Patent 6,141,630 From Verisity On Coverage Database - To be Discu ssed at the Full SV Meeting
- [sv-cc] PDF version of clean Scheduling Proposal
- [sv-cc] Perspectives from a "user" of the SV DPI C-layer
- [sv-cc] picture for discussion today
- [sv-cc] Please add to sv-cc agenda for 08/15/2007
- [sv-cc] Please confirm fix for bug note in Mantis 528
- [sv-cc] Please mention your name while filing a bug
- [sv-cc] Please re-join call
- [sv-cc] Please rejoin conf call
- [sv-cc] Please review all Draft 7a Items before our next meeting
- [sv-cc] Please RSVP for face-to-face meeting
- [sv-cc] Please see errata 734
- [sv-cc] please send me the annotated spreadsheet
- [sv-cc] Please vote on Mantis 3118
- [sv-cc] Poll for coverage api and strings
- [sv-cc] Poll on errata for open arrays
- [sv-cc] Poll on Issue 1.9 (Michael's Inclusion proposal)
- [sv-cc] Polls on Coverage VPI and String datatype
- [sv-cc] Polls on Coverage VPI and String datatype--vote
- [sv-cc] Polls on extern/export and representation of SV
- [sv-cc] Polls on extern/export and representation of SV data
- [sv-cc] Polls on extern/export and representation of SV data types
- Michael Rohleder (Tue Mar 11 2003 - 09:12:38 PST)
- Stickley, John (Tue Mar 11 2003 - 08:51:27 PST)
- Joao Geada (Tue Mar 11 2003 - 07:50:00 PST)
- Michael Rohleder (Tue Mar 11 2003 - 02:24:55 PST)
- Stickley, John (Mon Mar 10 2003 - 11:38:21 PST)
- Stickley, John (Mon Mar 10 2003 - 11:31:44 PST)
- Warmke, Doug (Mon Mar 10 2003 - 11:32:13 PST)
- Stickley, John (Mon Mar 10 2003 - 11:12:53 PST)
- Joao Geada (Mon Mar 10 2003 - 11:06:34 PST)
- Warmke, Doug (Mon Mar 10 2003 - 10:26:23 PST)
- Joao Geada (Mon Mar 10 2003 - 07:52:32 PST)
- Michael Rohleder (Sat Mar 08 2003 - 13:46:01 PST)
- Bassam Tabbara (Fri Mar 07 2003 - 20:58:02 PST)
- Amouroux, John (Fri Mar 07 2003 - 18:10:10 PST)
- Stickley, John (Fri Mar 07 2003 - 15:24:50 PST)
- Francoise Martinolle (Fri Mar 07 2003 - 15:26:20 PST)
- Joao Geada (Fri Mar 07 2003 - 10:33:26 PST)
- Warmke, Doug (Thu Mar 06 2003 - 22:27:14 PST)
- Warmke, Doug (Thu Mar 06 2003 - 22:21:53 PST)
- Swapnajit Mittra (Thu Mar 06 2003 - 16:24:20 PST)
- [sv-cc] Posted LRM approved by Technical Chairs and Committees
- [sv-cc] Posting to multiple sv-*c reflectors
- [sv-cc] potential time for meeting today: 12 o'clock (United states east coast time)
- [sv-cc] Preliminary drafts of P1364/D8 and P1800/D6 for review
- [sv-cc] Presentation Slides for September 18.
- [sv-cc] Press release announcing 1800 draft available for purchase
- [sv-cc] proactive cooperation with SV-CC
- [sv-cc] Probably absent Wednesday
- [sv-cc] Problem of mails getting bounced from the reflector has been solved...
- [sv-cc] Problems found in sv_vpi_user.h file
- [sv-cc] Problems in 3.1 official BNF for DPI
- [sv-cc] Process and schedule for final LRM review and vote
- [sv-cc] Process change for ballot editing issues
- [sv-cc] Proposal (combined) for issues 121, 122, 123
- [sv-cc] Proposal 123 updated
- [sv-cc] Proposal 2250 (LTL VPI) updated
- [sv-cc] Proposal 318 (2 utility functions)
- [sv-cc] Proposal added for issue 60
- [sv-cc] Proposal added to 295 (no var bit typespec)
- [sv-cc] Proposal for #284 ...
- [sv-cc] Proposal for #288
- [sv-cc] Proposal for #301
- [sv-cc] Proposal for #426 uploaded
- [sv-cc] Proposal for #431 uploaded
- [sv-cc] proposal for #482 revised
- [sv-cc] Proposal for 1700 has been updated ...
- [sv-cc] Proposal for 2621 -- vpiSize of function declarations
- [sv-cc] Proposal for 3215
- [sv-cc] Proposal for 473: Notes on class object definition
- [sv-cc] Proposal for 487 uploaded
- [sv-cc] proposal for 489 (ref obj fixes) uploaded
- [sv-cc] Proposal for 526: vpiValid for dynamic variables
- [sv-cc] Proposal for 736
- [sv-cc] Proposal for 757 to address 3192 issue
- [sv-cc] Proposal for 79 (true/TRUE and false/FALSE) uploaded
- [sv-cc] Proposal for a small change in vpi_user.h editorial
- [sv-cc] Proposal for Disables and DPI interaction
- [sv-cc] Proposal for DPI rand/randc handling
- [sv-cc] proposal for errata 373 has been uploaded
- [sv-cc] Proposal for Issue #469
- [sv-cc] Proposal for Item 053
- [sv-cc] Proposal for Item 372.
- [sv-cc] proposal for item# 606 uploaded
- [sv-cc] Proposal for Mantis 345 has been updated
- [sv-cc] Proposal for Mantis item #2009 updated
- [sv-cc] Proposal for multiple statements in task or function
- [sv-cc] Proposal for SV #353
- [sv-cc] Proposal for SV-CC issue 307 (Old PTF 530)
- [sv-cc] Proposal for VPI support of types on nets
- [sv-cc] Proposal for vpiType strings for reg vs. logic var
- [sv-cc] Proposal now available for Item 053
- [sv-cc] Proposal on exported tasks
- [sv-cc] Proposal posted for 309 (PTF 622)
- [sv-cc] Proposal uploaded for #72, #288
- [sv-cc] Proposal uploaded for 278
- [sv-cc] Proposal uploaded for 487
- [sv-cc] Proposal uploaded for 721: randc and init vlaues for type members
- [sv-cc] Proposal uploaded for handling IP Encryption
- [sv-cc] Proposals added for #422, #423, and #427
- [sv-cc] Proposals added to 432, 434, 440, 497, 585, 586, 599, 600, 605
- [sv-cc] Proposals for #282 ...
- [sv-cc] Proposals for #63, #158
- [sv-cc] Proposals for 494, 495, and 531
- [sv-cc] Proposals for 54-56 and 332.
- [sv-cc] Proposals for Item 1403
- [sv-cc] Proposals uploaded
- [sv-cc] Proposals: Expressions with side effects
- [sv-cc] Proposed changes to 4-state packed vector representation
- [sv-cc] Proposed meeting for this Wednesday 08/22/2007
- [sv-cc] Proposed merged LRM Table of Contents
- [sv-cc] Proposed resolution for LRM 298: Glossary (sv-cc portions)
- [sv-cc] Proposed resolutions for 2-28 meeting
- [sv-cc] Proposed wording
- [sv-cc] Propsoal for 1741
- [sv-cc] Proxy request fro 12/22 meeting
- [sv-cc] PTF items and a proposal for PTF 342.
- [sv-cc] ptf-passed issues
- [sv-cc] ptf-passed items
- [sv-cc] query related with `timescale
- [sv-cc] Query related with clocking block declared inside program block.
- [sv-cc] query related with event or operator of Verilog-2001.
- [sv-cc] Question & Comment
- [sv-cc] Question about duplicate callbacks
- [sv-cc] Question about mantis 2636
- [sv-cc] question about mapping of types in DPI
- [sv-cc] Question about VPI callback existance after execution
- [sv-cc] Question from ["Edelman, Rich" <rich_edelman@mentorg.com>]
- [sv-cc] question from the CC committee
- [sv-cc] Question on "rand" qualifer on struct elements
- Ralph Duncan (Tue Dec 12 2006 - 13:52:08 PST)
- Warmke, Doug (Tue Dec 12 2006 - 11:50:53 PST)
- Jim Vellenga (Mon Dec 11 2006 - 07:57:54 PST)
- Chuck Berking (Fri Dec 08 2006 - 09:01:38 PST)
- Warmke, Doug (Fri Dec 08 2006 - 08:53:42 PST)
- Jim Vellenga (Fri Dec 08 2006 - 05:43:50 PST)
- Warmke, Doug (Thu Dec 07 2006 - 21:52:50 PST)
- Warmke, Doug (Wed Dec 06 2006 - 08:24:00 PST)
- Chuck Berking (Wed Dec 06 2006 - 08:20:25 PST)
- Bresticker, Shalom (Wed Dec 06 2006 - 07:59:37 PST)
- Rich, Dave (Wed Dec 06 2006 - 07:52:21 PST)
- Chuck Berking (Wed Dec 06 2006 - 07:14:47 PST)
- Bresticker, Shalom (Wed Dec 06 2006 - 04:39:44 PST)
- Rich, Dave (Tue Dec 05 2006 - 14:09:27 PST)
- Chuck Berking (Tue Dec 05 2006 - 13:11:45 PST)
- Bresticker, Shalom (Tue Dec 05 2006 - 08:52:10 PST)
- Jim Vellenga (Tue Dec 05 2006 - 08:45:54 PST)
- Warmke, Doug (Wed Nov 29 2006 - 11:47:18 PST)
- [sv-cc] question on Assertion iterators
- [sv-cc] Question on errata 53
- [sv-cc] Question on LRM E.6.7
- [sv-cc] Question regarding vpi_get_delays()
- [sv-cc] Questions from the editor.
- [sv-cc] Questions on 205 and 278/279
- [sv-cc] questions on Let VPI proposal
- [sv-cc] Questions on our activities during the ballot period.
- [sv-cc] questions on SV-CC 303/PTF 342 and SV-CC 313/PTF 296
- [sv-cc] Ralph's review notes
- [sv-cc] Re-proposed user data API
- [sv-cc] Re-worded DPI Import BNF
- [sv-cc] Read API
- [sv-cc] Read API updated version
- [sv-cc] READ API: a couple of thoughts, a new issue and a question
- [sv-cc] Reader VPI defs/routines to be added to vpi_user.h
- [sv-cc] Reader VPI LRM version
- [sv-cc] realtime is by default signed or unsigned.
- [sv-cc] Reballot feedback
- [sv-cc] Recall: Mantis proposal uploaded for 4130- please review
- [sv-cc] Recap of SV-CC item 274
- [sv-cc] ref obj: more clarifications and issues
- [sv-cc] Ref: SV-CC meeting of 4/26: IEEE1800-2005 - Invoking DPI export functions/tasks from non context import functions/tasks
- [sv-cc] Reference to misctf
- [sv-cc] Reg. escaped identifiers..
- [sv-cc] Relating to Mantis 1385
- [sv-cc] Remaining schedule for IEEE P1800 development
- [sv-cc] Remaining technical Issues for discussion on 3/25
- [sv-cc] Reminder of action item from last week
- [sv-cc] Reminder to review the VPI ext and the Reader donations
- [sv-cc] Reminder: Need to have plans ready for next P1800 meeting
- [sv-cc] Reminder: Please review DPI-OO proposals
- [sv-cc] reminder: updated svc.h, svc_src.h needed
- [sv-cc] reminder: updated svc.h, svc_src.h needed - Separate concerns!
- [sv-cc] Reopened Issue #333 (VPI for Nets)
- [sv-cc] Replaced Mantis #1477 proposal
- [sv-cc] Replaced proposals for Mantis item 3884
- [sv-cc] Report from 1800 working group
- [sv-cc] representation of packed arrays and binary level compatibility
- [sv-cc] representation of SV data - imposed restrictions
- [sv-cc] representation of SystemVerilog data types
- [sv-cc] Request for clarification
- [sv-cc] Request for proxy vote: SV-CC 12/22/04 meeting
- [sv-cc] request to review 1599
- [sv-cc] request to review 2005
- [sv-cc] request to review 2250
- [sv-cc] Request to review 2494
- [sv-cc] request to review and approve 2182
- [sv-cc] Request to review Mantis 2562
- [sv-cc] Rescheduled Full SV meeting to February 28
- [sv-cc] RESEND: SV-CC Meeting minutes for 11/08/2006
- [sv-cc] Resending discussion document for 1726: Meaning of vpiConstantSelect
- [sv-cc] Resolution of Mantis 1646
- [sv-cc] Resolution of open vs dynamic arrays
- [sv-cc] resolution of remaining sv-cc LRM issues
- [sv-cc] Resolution of sv-cc LRM issues
- [sv-cc] Responses to the questions we had at the SV-CC meeting yesterday.
- [sv-cc] Restarted voting rights
- [sv-cc] Results of Champions email vote ending Aug 13th
- [sv-cc] Results of Champions email vote which closed on Sept 29th
- [sv-cc] Results of our email vote on 053, 062, 077, 342
- [sv-cc] Results of the Champions email vote ending on December 13th, 2010
- [sv-cc] Results of the Champions meeting on 1/4/05
- [sv-cc] Results of the poll on ISSUE 1.9
- [sv-cc] Results of the polls on extern/export and representation of SV data ty pes
- [sv-cc] review of 0226 implementation in draft8
- [sv-cc] Review of draft 4 - Person E
- [sv-cc] Review of Draft 5 Changes (Urgent)!
- [sv-cc] Review of Draft 5 Changes (Urgent-again)!
- [sv-cc] Review of Encryption proposal scheduled for Wed 12/22 at 1500 UTC
- [sv-cc] Review of P1800/D3 Section 36 (VPI Data Model)
- [sv-cc] revised .fm: c_layer_v1.fm, sv_layer_v1.fm, include_files_v1.fm
- [sv-cc] revised .pdf: c_layer_v1.pdf, sv_layer_v1.pdf, include_files_v1.pdf
- [sv-cc] Revised 265 uploaded
- [sv-cc] Revised completed Mantis proposal for 1688
- [sv-cc] revised proposal for errata 56
- [sv-cc] Revised proposal for item 753
- [sv-cc] Revised Un-Approved SV-CC Meeting minutes for Apr-08-2009
- [sv-cc] Revision 4 of DPI task proposal
- [sv-cc] RSVP For P1800 SystemVerilog Working Group Meeting
- [sv-cc] Sample design to illustrate vpiFile and vpiLineNo problem
- [sv-cc] Schedule and process for reviewing incorporated Items
- [sv-cc] Schedule extension
- [sv-cc] Schedule for our remaining items.
- [sv-cc] Scheduling Semantics - Chapter 14
- [sv-cc] Semantics of disable as applied to task/func arguments
- [sv-cc] Separate Compilation Proposal for review
- [sv-cc] Should mark Mantis Item 0438 as not fixable
- [sv-cc] Simpler proposal for JEITA concerns
- [sv-cc] Slides for SV-CC presentation at DVCon Meeting, Draft 1
- [sv-cc] Slides for SV-CC presentation at DVCon Meeting, Draft 2
- [sv-cc] Small addition to proposal for 2572
- [sv-cc] So long for now
- [sv-cc] So-called "asymmetry"
- [sv-cc] Some email is bouncing
- [sv-cc] Some more thought on the bootstrapping process
- [sv-cc] Some query related with enum.
- [sv-cc] some responses to some comments
- [sv-cc] Some thoughts on the tasks ahead, open issues and deadlines
- [sv-cc] Sorry, Link to agenda was wrong. Here is a new link.
- [sv-cc] Special 1364 Meeting to resolve Issue 680 (Configs)
- [sv-cc] Special meeting reminder - 01/12/04
- [sv-cc] Special Meeting reminder - 01/20/04
- [sv-cc] Special Meeting reminder - 04/23/04
- [sv-cc] Spectrum of legal rand-qualified types?
- [sv-cc] Starting SV-CC Meetings again
- [sv-cc] Statements to the Press
- [sv-cc] Status of some issues
- [sv-cc] Status update from the 05/01/2008 P1800 meeting
- [sv-cc] still problems with include files
- [sv-cc] String concatenation as dumpfile argument
- [sv-cc] String format
- [sv-cc] Subdividing 205... Recounting specifics
- [sv-cc] Submitted errata 278 for example 3 in section E.9.4
- [sv-cc] submitted mantis items 1434 and 1435 for fork join issues
- [sv-cc] Substitute paragraph
- [sv-cc] Suggest LRM warning: lack of certain type equivalences
- [sv-cc] Suggestion for proposal for #121
- [sv-cc] Summary of sv-ac-relevant action items from 4/2 sv-cc mtg
- [sv-cc] Summary of voting for all committees
- [sv-cc] SV 292
- [sv-cc] SV 3.1 LRM Table 11-1: chandle and garbage collection
- [sv-cc] SV 3.1A adjusted deadlines
- [sv-cc] SV 342
- [sv-cc] SV Chairs and Champions Response to Negative Ballot Comments of C adence
- [sv-cc] SV Extensions Bug Database
- [sv-cc] SV f2f Wed. Nov. 12: VPI overview minutes
- [sv-cc] SV Full Committee Meeting on March 4, 2004
- [sv-cc] SV Interface with SystemC
- [sv-cc] SV Layer Feedback
- [sv-cc] SV LRM Review 158, 72, 330 et al.
- [sv-cc] SV LRM review issues
- [sv-cc] SV LRM Review: 277, and 265
- [sv-cc] SV Presentations at 2nd System Verilog Symposium
- [sv-cc] SV Read VPI revision
- [sv-cc] SV types that are not C compatible
- [sv-cc] SV-201 has been updated
- [sv-cc] SV-AC and SV-BC collaboration mode
- [sv-cc] SV-AC and SV-BC collaboration mode (resent)
- [sv-cc] SV-AC and SV-CC collaboration mode (resent)
- [sv-cc] SV-AC F2F
- [sv-cc] SV-CC 01/23/03 f2f meeting minutes
- [sv-cc] sv-cc 2 sv-ec: extern/export requirements
- [sv-cc] SV-CC Action Item: Pls take another look at 2173, 2326, 2327
- [sv-cc] SV-CC agenda for 01/02/2008
- [sv-cc] SV-CC agenda for 01/03/3007
- [sv-cc] SV-CC agenda for 01/05/2005
- [sv-cc] SV-CC agenda for 01/12/2005
- [sv-cc] SV-CC agenda for 01/16/2008
- [sv-cc] SV-CC agenda for 01/17/3007
- [sv-cc] SV-CC agenda for 01/18/2005
- [sv-cc] SV-CC agenda for 01/19/2005
- [sv-cc] SV-CC agenda for 01/23/2008
- [sv-cc] SV-CC agenda for 01/26/2005
- [sv-cc] SV-CC agenda for 01/31/3007
- [sv-cc] SV-CC agenda for 02/01/2006
- [sv-cc] SV-CC agenda for 02/02/2005 - please note the time change!
- [sv-cc] SV-CC agenda for 02/09/2005
- [sv-cc] SV-CC agenda for 02/13/2008
- [sv-cc] SV-CC agenda for 02/14/3007
- [sv-cc] SV-CC agenda for 02/15/2006
- [sv-cc] SV-CC agenda for 02/23/2005
- [sv-cc] SV-CC agenda for 02/27/2008
- [sv-cc] SV-CC agenda for 02/28/2007
- [sv-cc] SV-CC agenda for 03/01/2006
- [sv-cc] SV-CC agenda for 03/12/2008 - Note Daylight Savings Time Change!
- [sv-cc] SV-CC agenda for 03/14/2007
- [sv-cc] SV-CC agenda for 03/15/2006
- [sv-cc] SV-CC agenda for 03/19/2008
- [sv-cc] SV-CC agenda for 03/26/2008
- [sv-cc] SV-CC agenda for 03/28/2007
- [sv-cc] SV-CC agenda for 04/02/2008
- [sv-cc] SV-CC agenda for 04/05/2005
- [sv-cc] SV-CC agenda for 04/08/2005
- [sv-cc] SV-CC agenda for 04/09/2008
- [sv-cc] SV-CC agenda for 04/11/2005
- [sv-cc] SV-CC agenda for 04/11/2007
- [sv-cc] SV-CC agenda for 04/12/2006 - NOTE the time change
- [sv-cc] SV-CC agenda for 04/15/2005
- [sv-cc] SV-CC agenda for 04/20/2005
- [sv-cc] SV-CC agenda for 04/25/2007
- [sv-cc] SV-CC agenda for 04/26/2006
- [sv-cc] SV-CC agenda for 04/28/2005
- [sv-cc] SV-CC agenda for 05/04/2005
- [sv-cc] SV-CC agenda for 05/06/2005
- [sv-cc] SV-CC agenda for 05/07/2008
- [sv-cc] SV-CC agenda for 05/09/2007
- [sv-cc] SV-CC agenda for 05/10/2005
- [sv-cc] SV-CC agenda for 05/10/2006
- [sv-cc] SV-CC agenda for 05/18/2005
- [sv-cc] SV-CC agenda for 05/21/2008
- [sv-cc] SV-CC agenda for 05/23/2007
- [sv-cc] SV-CC agenda for 05/24/2006
- [sv-cc] SV-CC agenda for 06/04/2008
- [sv-cc] SV-CC agenda for 06/06/2007
- [sv-cc] SV-CC agenda for 06/07/2006
- [sv-cc] SV-CC agenda for 06/14/2006
- [sv-cc] SV-CC agenda for 06/20/2007
- [sv-cc] SV-CC agenda for 07/16/2008
- [sv-cc] SV-CC agenda for 07/18/2007
- [sv-cc] SV-CC agenda for 07/19/2006
- [sv-cc] SV-CC agenda for 08/01/2007
- [sv-cc] SV-CC agenda for 08/02/2006
- [sv-cc] SV-CC agenda for 08/15/2007
- [sv-cc] SV-CC agenda for 08/16/2006
- [sv-cc] SV-CC agenda for 08/22/2007
- [sv-cc] SV-CC agenda for 08/29/2007
- [sv-cc] SV-CC agenda for 08/30/2006
- [sv-cc] SV-CC agenda for 09/12/2007
- [sv-cc] SV-CC agenda for 09/13/2006
- [sv-cc] SV-CC agenda for 09/26/2007
- [sv-cc] SV-CC agenda for 09/27/2006
- [sv-cc] SV-CC agenda for 10/06/2004
- [sv-cc] SV-CC agenda for 10/10/2007
- [sv-cc] SV-CC agenda for 10/11/2006
- [sv-cc] SV-CC agenda for 10/13/2004
- [sv-cc] SV-CC agenda for 10/20/2004
- [sv-cc] SV-CC agenda for 10/24/2007
- [sv-cc] SV-CC agenda for 10/25/2006
- [sv-cc] SV-CC agenda for 10/26/2005
- [sv-cc] SV-CC agenda for 10/27/2004
- [sv-cc] SV-CC agenda for 11/03/2004
- [sv-cc] SV-CC agenda for 11/07/2007
- [sv-cc] SV-CC agenda for 11/08/2005
- [sv-cc] SV-CC agenda for 11/08/2006
- [sv-cc] SV-CC agenda for 11/09/2005
- [sv-cc] SV-CC agenda for 11/10/2004
- [sv-cc] SV-CC agenda for 11/17/2004
- [sv-cc] SV-CC agenda for 11/24/2004
- [sv-cc] SV-CC agenda for 11/30/2005
- [sv-cc] SV-CC agenda for 12/01/2004
- [sv-cc] SV-CC agenda for 12/05/2007
- [sv-cc] SV-CC agenda for 12/06/2006
- [sv-cc] SV-CC agenda for 12/07/2005
- [sv-cc] SV-CC agenda for 12/08/2004
- [sv-cc] SV-CC agenda for 12/15/2004
- [sv-cc] SV-CC agenda for 12/19/2007
- [sv-cc] SV-CC agenda for 12/20/2006
- [sv-cc] SV-CC agenda for 12/22/2004
- [sv-cc] SV-CC agenda for 9/15/2004
- [sv-cc] SV-CC agenda for 9/22/2004
- [sv-cc] SV-CC agenda for 9/29/2004
- [sv-cc] SV-CC Agenda for Aug-31-2011
- [sv-cc] SV-CC Agenda for Jul-11-2012
- [sv-cc] SV-CC Agenda for Jun-13-2012
- [sv-cc] SV-CC Agenda for May-16-2012
- [sv-cc] SV-CC Agenda for Nov-09-2011
- [sv-cc] SV-CC Agenda for Oct-12-2011
- [sv-cc] SV-CC Agenda for Oct-26-2011
- [sv-cc] SV-CC Agenda for Sep-14-2011
- [sv-cc] SV-CC Agenda for Sep-28-2011
- [sv-cc] SV-CC committee face to face meeting on April 6, 2005
- [sv-cc] SV-CC Data Read API meeting agenda for 02/06/2008
- [sv-cc] sv-cc errata
- [sv-cc] sv-cc Erratum
- [sv-cc] SV-CC Face to Face Meeting
- [sv-cc] SV-CC face to face meeting in San Jose, 2/19 and 2/22
- [sv-cc] SV-CC face to face meeting on 11/12
- [sv-cc] SV-CC Index/Glossary for SV3.1A
- [sv-cc] SV-CC is starting now
- [sv-cc] SV-CC Leadership election results
- [sv-cc] SV-CC LRM - array of strings
- [sv-cc] SV-CC LRM Version 0.8
- [sv-cc] SV-CC LRM Version 0.9 pdf - SV layer, C layer, include files
- [sv-cc] SV-CC LRM Version 0.9_1 pdf - SV layer, C layer, include files
- [sv-cc] SV-CC meeting
- [sv-cc] SV-CC Meeting agenda for 01/14/2009
- [sv-cc] SV-CC Meeting agenda for 01/28/2009
- [sv-cc] SV-CC Meeting agenda for 07/30/2008
- [sv-cc] SV-CC Meeting agenda for 08/13/2008
- [sv-cc] SV-CC Meeting agenda for 09/09/2008
- [sv-cc] SV-CC Meeting agenda for 09/09/2008[SPAM]
- [sv-cc] SV-CC Meeting agenda for 10/08/2008
- [sv-cc] SV-CC Meeting agenda for 10/22/2008
- [sv-cc] SV-CC Meeting agenda for Apr-08-2009
- [sv-cc] SV-CC Meeting agenda for Apr-13-2011
- [sv-cc] SV-CC Meeting agenda for Apr-22-2009
- [sv-cc] SV-CC Meeting agenda for Apr-27-2010
- [sv-cc] SV-CC Meeting agenda for Apr-27-2011
- [sv-cc] SV-CC Meeting agenda for Apr-29-2009
- [sv-cc] SV-CC Meeting agenda for Aug-04-2010
- [sv-cc] SV-CC meeting agenda for Aug-17-2011
- [sv-cc] SV-CC Meeting agenda for Aug-18-2010
- [sv-cc] SV-CC meeting agenda for Aug-3-2011
- [sv-cc] SV-CC Meeting agenda for Dec-08-2010
- [sv-cc] SV-CC Meeting agenda for Feb-02-2011
- [sv-cc] SV-CC Meeting agenda for Feb-03-2010
- [sv-cc] SV-CC Meeting agenda for Feb-16-2011
- [sv-cc] SV-CC Meeting agenda for Feb-17-2010
- [sv-cc] SV-CC Meeting agenda for Jan-05-2011
- [sv-cc] SV-CC Meeting agenda for Jan-19-2011
- [sv-cc] SV-CC Meeting agenda for Jan-20-2010
- [sv-cc] SV-CC Meeting agenda for Jul-20-2009
- [sv-cc] SV-CC meeting agenda for Jul-20-2011
- [sv-cc] SV-CC Meeting agenda for Jul-21-2010
- [sv-cc] SV-CC meeting agenda for Jun-08-2011
- [sv-cc] SV-CC Meeting agenda for Jun-09-2010
- [sv-cc] SV-CC meeting agenda for Jun-22-2011
- [sv-cc] SV-CC Meeting agenda for Jun-23-2010
- [sv-cc] SV-CC Meeting agenda for Mar-16-2011
- [sv-cc] SV-CC Meeting agenda for Mar-30-2011
- [sv-cc] SV-CC Meeting agenda for May-11-2011
- [sv-cc] SV-CC Meeting agenda for May-12-2010
- [sv-cc] SV-CC Meeting agenda for May-13-2009
- [sv-cc] SV-CC Meeting agenda for May-20-2009
- [sv-cc] SV-CC Meeting agenda for May-25-2011
- [sv-cc] SV-CC Meeting agenda for May-26-2010
- [sv-cc] SV-CC Meeting agenda for May-6-2009
- [sv-cc] SV-CC Meeting agenda for Nov-10-2010
- [sv-cc] SV-CC Meeting agenda for Oct-13-2010
- [sv-cc] SV-CC Meeting agenda for Oct-27-2010
- [sv-cc] SV-CC Meeting agenda for Sep-01-2010
- [sv-cc] SV-CC Meeting agenda for Sep-15-2010
- [sv-cc] SV-CC Meeting agenda for Sep-29-2010
- [sv-cc] SV-CC meeting before Thursday deadline?
- [sv-cc] SV-CC meeting cancelled
- [sv-cc] SV-CC meeting for today is canceled.
- [sv-cc] SV-CC meeting is starting now
- [sv-cc] SV-CC meeting minutes - Aug-3-2011
- [sv-cc] sv-cc Meeting minutes 02/04/2003
- [sv-cc] SV-CC Meeting Minutes for 01/02/2008
- [sv-cc] SV-CC Meeting minutes for 01/03/2007
- [sv-cc] SV-CC Meeting minutes for 01/05/2005
- [sv-cc] SV-CC Meeting minutes for 01/12/2005
- [sv-cc] SV-CC Meeting Minutes for 01/16/2008
- [sv-cc] SV-CC Meeting minutes for 01/17/2007
- [sv-cc] SV-CC Meeting minutes for 01/18/2006
- [sv-cc] SV-CC Meeting minutes for 01/19/2005
- [sv-cc] SV-CC Meeting minutes for 01/26/2005
- [sv-cc] SV-CC Meeting Minutes for 01/30/2008
- [sv-cc] SV-CC Meeting minutes for 02/01/2006
- [sv-cc] SV-CC Meeting minutes for 02/02/2005
- [sv-cc] SV-CC Meeting minutes for 02/09/2005
- [sv-cc] SV-CC Meeting Minutes for 02/13/2008
- [sv-cc] SV-CC Meeting minutes for 02/15/2006
- [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.
- [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.]
- [sv-cc] SV-CC Meeting minutes for 02/23/2005
- [sv-cc] SV-CC Meeting Minutes for 02/27/2008
- [sv-cc] SV-CC Meeting minutes for 03/01/2006
- [sv-cc] SV-CC Meeting Minutes for 03/12/2008
- [sv-cc] SV-CC Meeting minutes for 03/15/2006
- [sv-cc] SV-CC Meeting Minutes for 03/19/2008
- [sv-cc] SV-CC Meeting Minutes for 03/26/2008
- [sv-cc] SV-CC Meeting minutes for 03/29/2006
- [sv-cc] SV-CC Meeting Minutes for 04/02/2008
- [sv-cc] SV-CC Meeting minutes for 04/05/2005
- [sv-cc] SV-CC Meeting minutes for 04/08/2005
- [sv-cc] SV-CC Meeting Minutes for 04/09/2008
- [sv-cc] SV-CC Meeting minutes for 04/11/2005
- [sv-cc] SV-CC Meeting minutes for 04/12/2006
- [sv-cc] SV-CC Meeting minutes for 04/15/2005
- [sv-cc] SV-CC Meeting minutes for 04/20/2005
- [sv-cc] SV-CC Meeting minutes for 04/26/2006
- [sv-cc] SV-CC Meeting minutes for 04/27/2005
- [sv-cc] SV-CC Meeting minutes for 05/04/2005
- [sv-cc] SV-CC Meeting minutes for 05/06/2005
- [sv-cc] SV-CC Meeting Minutes for 05/07/2008
- [sv-cc] SV-CC Meeting minutes for 05/10/2005
- [sv-cc] SV-CC Meeting minutes for 05/10/2006
- [sv-cc] SV-CC Meeting minutes for 05/18/2005
- [sv-cc] SV-CC Meeting Minutes for 05/21/2008
- [sv-cc] SV-CC Meeting minutes for 05/24/2006
- [sv-cc] SV-CC Meeting Minutes for 06/04/2008
- [sv-cc] SV-CC Meeting minutes for 06/07/2006
- [sv-cc] SV-CC Meeting minutes for 06/21/2006
- [sv-cc] SV-CC Meeting Minutes for 07/16/2008
- [sv-cc] SV-CC Meeting Minutes for 07/18/2007
- [sv-cc] SV-CC Meeting minutes for 07/19/2006
- [sv-cc] SV-CC Meeting Minutes for 07/30/2008
- [sv-cc] SV-CC Meeting Minutes for 08/01/2007
- [sv-cc] SV-CC Meeting minutes for 08/02/2006
- [sv-cc] SV-CC Meeting Minutes for 08/13/2008
- [sv-cc] SV-CC Meeting Minutes for 08/15/2007
- [sv-cc] SV-CC Meeting minutes for 08/16/2006
- [sv-cc] SV-CC Meeting Minutes for 08/22/2007
- [sv-cc] SV-CC Meeting Minutes for 08/29/2007
- [sv-cc] SV-CC Meeting minutes for 08/30/2006
- [sv-cc] SV-CC Meeting minutes for 09/10/2008
- [sv-cc] SV-CC Meeting Minutes for 09/12/2007
- [sv-cc] SV-CC Meeting minutes for 09/13/2006
- [sv-cc] SV-CC Meeting minutes for 09/15/2004
- [sv-cc] SV-CC Meeting minutes for 09/22/2004
- [sv-cc] SV-CC Meeting Minutes for 09/26/2007
- [sv-cc] SV-CC Meeting minutes for 09/27/2006
- [sv-cc] SV-CC Meeting minutes for 09/29/2004
- [sv-cc] SV-CC Meeting minutes for 10/06/2004
- [sv-cc] SV-CC Meeting Minutes for 10/10/2007
- [sv-cc] SV-CC Meeting Minutes for 10/10/2007 -- 1599
- [sv-cc] SV-CC Meeting minutes for 10/11/2006
- [sv-cc] SV-CC Meeting minutes for 10/13/2004
- [sv-cc] SV-CC Meeting minutes for 10/20/2004
- [sv-cc] SV-CC Meeting Minutes for 10/24/2007
- [sv-cc] SV-CC Meeting minutes for 10/25/2006
- [sv-cc] SV-CC Meeting minutes for 10/26/2004
- [sv-cc] SV-CC Meeting minutes for 10/26/2005
- [sv-cc] SV-CC Meeting minutes for 11/03/2004
- [sv-cc] SV-CC Meeting Minutes for 11/07/2007
- [sv-cc] SV-CC Meeting minutes for 11/08/2006
- [sv-cc] SV-CC Meeting minutes for 11/09/2005
- [sv-cc] SV-CC Meeting minutes for 11/10/2004
- [sv-cc] SV-CC Meeting minutes for 11/17/2004
- [sv-cc] SV-CC Meeting minutes for 11/24/2004
- [sv-cc] SV-CC Meeting minutes for 11/30/2005
- [sv-cc] SV-CC Meeting minutes for 12/01/2004
- [sv-cc] SV-CC Meeting Minutes for 12/05/2007
- [sv-cc] SV-CC Meeting minutes for 12/06/2006
- [sv-cc] SV-CC Meeting minutes for 12/07/2005
- [sv-cc] SV-CC Meeting minutes for 12/08/2004
- [sv-cc] SV-CC Meeting Minutes for 12/19/2007
- [sv-cc] SV-CC Meeting minutes for 12/20/2006
- [sv-cc] SV-CC Meeting minutes for 12/22/2004
- [sv-cc] SV-CC Meeting Minutes for July 30, 2003
- [sv-cc] SV-CC Meeting Minutes for September 24, 2003
- [sv-cc] SV-CC Meeting minutes from 10/08/2008
- [sv-cc] SV-CC Meeting minutes from 10/22/2008
- [sv-cc] SV-CC Meeting minutes from Jan-14-2009
- [sv-cc] SV-CC Meeting Minutes, Part 2, for January 28, 2003
- [sv-cc] SV-CC meeting on 3/2/2005 CANCELED
- [sv-cc] SV-CC Meeting on Thursday 3/27 - Call Info
- [sv-cc] SV-CC meeting re-starting on 07/02/03
- [sv-cc] SV-CC Meeting Reminder/Agenda for 2/25
- [sv-cc] SV-CC Meeting this Wednesday
- [sv-cc] SV-CC meetings
- [sv-cc] SV-CC meetings]
- [sv-cc] SV-CC Minutes 01/20/04
- [sv-cc] SV-CC minutes 03/11/03
- [sv-cc] SV-CC minutes 07/09/2003
- [sv-cc] sv-cc minutes 1/28/2004
- [sv-cc] SV-CC minutes for 08/20/03
- [sv-cc] SV-CC Minutes for 11/03/04: tallies
- [sv-cc] SV-CC minutes Jan 14 2004
- [sv-cc] SV-CC new business: add vpiChandleVar to sv_vpi_user.h
- [sv-cc] SV-CC proposals updated
- [sv-cc] sv-cc updated issue tracking spreadsheet (5/11/2004)
- [sv-cc] sv-cc updated issue tracking spreadsheet (5/25/2004)
- [sv-cc] SV-CC web site setup
- [sv-cc] sv-cc-issue-tracking.xls
- [sv-cc] sv-cc-lrm-v0.7.pdf
- [sv-cc] SV-CC: DPI Issues
- [sv-cc] sv-sc Meeting agenda - April 21
- [sv-cc] SV3.1A issues ... - garbage collection - see 26.4.1.4 + D.5.7
- [sv-cc] SV3.1A issues for sv-cc from SV3.1
- [sv-cc] SV3.1A issues for sv-cc from SV3.1 - garbage collection
- [sv-cc] sv_vpi_user.h revision + some comments
- [sv-cc] SVA enhancement items
- [sv-cc] SVDB Operating Procedures
- [sv-cc] SVDB Operating Procedures and Champions pages are available
- [sv-cc] svdpi.h(.new) file for testing
- [sv-cc] svInclusion document (final) - Source
- [sv-cc] svPut/GetUserData()
- [sv-cc] Synch up Mantis item 2250 with 1932 (next -> nexttime)
- [sv-cc] Synopsys donating VPI extensions
- [sv-cc] Synopsys Submission to SV 3.1a
- [sv-cc] SystemVerilog & SystemC PAR Request (P1800 & P1666)
- [sv-cc] SystemVerilog (P1800) and Verilog (P1364) Draft Documents Available
- [sv-cc] SystemVerilog 3.1 Draft 4 LRM and review process
- [sv-cc] SystemVerilog 3.1 draft 6 available for review
- [sv-cc] SystemVerilog 3.1 Is An Accellera Standard
- [sv-cc] SystemVerilog 3.1 LRM Passed all Committees
- [sv-cc] SystemVerilog 3.1 Scheduling Semantics
- [sv-cc] SystemVerilog 3.1a and 1800-2005 remains in 1800-2012
- [sv-cc] SystemVerilog 3.1a Approved LRM available
- [sv-cc] SystemVerilog 3.1a Draft 1
- [sv-cc] SystemVerilog 3.1a Draft 5 is available!
- [sv-cc] SystemVerilog 3.1a Draft 6 is available
- [sv-cc] SystemVerilog 3.1A Errata And IEEE P1800 Activities
- [sv-cc] SystemVerilog 3.1A Errata Development
- [sv-cc] SystemVerilog 3.1A Face to Face Meeting on September 18 starting at 9:00
- [sv-cc] SystemVerilog 3.1A Meeting on September 18th
- [sv-cc] SystemVerilog 3.1A Review Process
- [sv-cc] SystemVerilog Coverage API (chapter 28) proposed errata
- [sv-cc] SystemVerilog Draft6 and Verilog Draft7 are available for download
- [sv-cc] SystemVerilog Errata Meeting on August 6.
- [sv-cc] SystemVerilog Errata Technical Planning Meeting on June 3 -- Mentor Graphics
- [sv-cc] SystemVerilog Face to Face Meeting on November 14
- [sv-cc] SystemVerilog Password Request
- [sv-cc] SystemVerilog Requirements Gathering Meeting
- [sv-cc] SystemVerilog Technical Planning Meeting on June 3 -- San Jose
- [sv-cc] SystemVerilog Workshop At DAC on June 2 -- Room 304, Anaheim Conv ention Center
- [sv-cc] SystemVerilog-2009 Update Presentations at DAC by Cliff & Stu
- [sv-cc] t_vpi_arrayvalue fix Mantis item logged
- [sv-cc] Table A1
- [sv-cc] Technical Committee schedule update
- [sv-cc] Technical Committee schedule updates from Working Group
- [sv-cc] Technical Committees Operating Guidelines - as a Study Group
- [sv-cc] Technical Committees Operating Guidelines - as a Working Group
- [sv-cc] Templates for use in LRM Changes and Submissions
- [sv-cc] tentative agenda for SV-AC face-to-face
- [sv-cc] Tentative agenda for sv-cc f2f
- [sv-cc] Terms and Conditions of Use of Accellera Standards
- [sv-cc] test - please ignore
- [sv-cc] Test - We have new hardware for eda.org
- [sv-cc] Test for Jay Lawrence on sv-cc
- [sv-cc] Test message - disregard
- [sv-cc] Test of the new alias
- [sv-cc] Testing changes for 1865
- [sv-cc] Testing of sv_vpi_user.h
- [sv-cc] Text error in Annex E.
- [sv-cc] Thanks for Great Review of Draft4 and Now the final Review.
- [sv-cc] The Call Today is Working Now.
- [sv-cc] The most recent champions email vote results
- [sv-cc] the phone number for the conference call does not work
- [sv-cc] The reflector is back up
- [sv-cc] Time to finish up all activities for this PAR
- [sv-cc] Today's SV VPI Update
- [sv-cc] Tomorrow's meeting canceled - please read
- [sv-cc] Tomorrow's meeting is canceled
- [sv-cc] Topic for agenda
- [sv-cc] Two quick proposals from this week's meeting
- [sv-cc] Type conversion for open arrays
- [sv-cc] Typespec diagram : Section 32.17
- [sv-cc] Un-Approved SV-CC Meeting minutes for Apr-08-2009
- [sv-cc] Un-Approved SV-CC Meeting minutes for Apr-08-2009]
- [sv-cc] Unapproved minutes 27 Oct 2010
- [sv-cc] Unpacked array argument index correspondance
- [sv-cc] Unpacked unions containing unpacked structs
- [sv-cc] Update face-to-face meeting notes
- [sv-cc] Update for vpiConstSelect
- [sv-cc] Update on inclusion doc
- [sv-cc] Update to 742
- [sv-cc] Update to finalize Mantis item #1766
- [sv-cc] Update to LRM Issues list.
- [sv-cc] Update to Mantis item 1684 (vpiParent proposal)
- [sv-cc] Update to Mantis item 1751 (Part Select parent)
- [sv-cc] Update to Mantis item 2143
- [sv-cc] Update to Scheduling proposal for reballot issue
- [sv-cc] UPDATED - IEEE P1800 Ballot Draft Version Ready for Download
- [sv-cc] Updated 1716 proposal (rand/randc)
- [sv-cc] Updated 26 February 2010 SystemVerilog Requirements Gathering Meeting Minutes
- [sv-cc] Updated 265 (Assertion VPI)
- [sv-cc] Updated 265 with new proposal
- [sv-cc] Updated Coverage errata
- [sv-cc] Updated coverage errata 12/5/2003
- [sv-cc] Updated Database
- [sv-cc] Updated errata 80
- [sv-cc] Updated errata spreadsheet (7/8/2004)
- [sv-cc] Updated Event Scheduling Proposal - 20070205
- [sv-cc] Updated extern/export proposal
- [sv-cc] Updated extern/exports proposal
- [sv-cc] Updated extern/exports proposal - NULL & $root scope
- [sv-cc] Updated extern/exports proposal - pure
- [sv-cc] Updated externexport proposal (version N+2)
- [sv-cc] Updated LRM
- [sv-cc] Updated LRM (v0.6)
- [sv-cc] Updated LRM - functions for bit type part-selects
- [sv-cc] updated mantis 1834
- [sv-cc] updated mantis item 2226 with proposal
- [sv-cc] updated mantis item 2226 with proposal corrections
- [sv-cc] Updated proposal
- [sv-cc] Updated proposal for #61
- [sv-cc] Updated proposal for 1269 -- Open Arrays (esp. output issues)
- [sv-cc] Updated proposal for 1726 (vpiConstantSelect)
- [sv-cc] Updated proposal for 1752 ...
- [sv-cc] Updated proposal for 2216 ...
- [sv-cc] Updated proposal for 610
- [sv-cc] Updated proposal for C/C++ file inclusion
- [sv-cc] Updated proposal for cbSizeChange
- [sv-cc] Updated proposal for Item 1837
- [sv-cc] Updated proposal for Item 1970
- [sv-cc] Updated Proposal for SV-CC #64
- [sv-cc] Updated proposal for types on wires
- [sv-cc] Updated rev of Reader VPI extension (1/12/04)
- [sv-cc] Updated rev of Reader VPI extension (1/12/04) -- the list of fixes by end of day
- [sv-cc] Updated spreadsheet
- [sv-cc] Updated SV Read VPI LRM
- [sv-cc] Updated SV VPI chapters
- [sv-cc] Updated SV VPI Extension proposal
- [sv-cc] Updated SV-CC Mantis Items
- [sv-cc] Updated SV-Layer and Language Inclusion pdfs
- [sv-cc] Updated version of Compatibility proposal uploaded (Mantis #1385)
- [sv-cc] Updated version of SV VPI extensions (dated Jan 12)
- [sv-cc] updated VPI efforts
- [sv-cc] Updates to the LRM need to be reviewed for accuracy
- [sv-cc] Updates to var select
- [sv-cc] updloaded draft d2 of errata 77
- [sv-cc] uploaded 528 proposal with Jim suggestions for note 3
- [sv-cc] uploaded a new version for errata 373
- [sv-cc] Uploaded a proposal for Issue 534.
- [sv-cc] Uploaded DPI-OO proposal 1.0.3 with review comments
- [sv-cc] Uploaded DPI-OO proposal 1.0.4
- [sv-cc] uploaded formal proposal for errata 80
- [sv-cc] uploaded new proposal for 528
- [sv-cc] uploaded new proposal for erratum 80.
- [sv-cc] Uploaded proposal for 3087
- [sv-cc] uploaded proposal for 3118
- [sv-cc] uploaded proposal for 489 (refobj )
- [sv-cc] uploaded proposal for 489 with fixes from previous meeting
- [sv-cc] uploaded proposal for 547
- [sv-cc] uploaded proposal for 604
- [sv-cc] Uploaded proposal for 686
- [sv-cc] uploaded proposal for 719
- [sv-cc] Uploaded proposal for errata # 62
- [sv-cc] uploaded proposal for errata # 77
- [sv-cc] uploaded proposal for errata 489
- [sv-cc] uploaded proposal for erratum 267 (vpiQualifier)
- [sv-cc] uploaded proposal to Mantis 3272
- [sv-cc] uploaded proposal to Mantis 3522
- [sv-cc] uploaded proposals for 465 and 528
- [sv-cc] Urgent: Need clarification on example 3 of section E.9.4
- [sv-cc] URGENT: Next Meeting Planned for Monday 1/19
- [sv-cc] user data
- [sv-cc] userdata edits for inclusion in LRM v0.8
- [sv-cc] using _MSC_VER in svdpi.h
- [sv-cc] Using DPI-C accessor functions in DPI-OO
- [sv-cc] Value change callbacks with variable indexes -- and (not) for automatic variables
- [sv-cc] var bit ?
- [sv-cc] VCS FSM pragmas documentation
- [sv-cc] Verification of LRM Changes for Draft 3
- [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer
- [sv-cc] very simple proposals for vote: 299, 300
- [sv-cc] virtual interfaces information model
- [sv-cc] Vote and polls on 3 issues
- [sv-cc] Vote on Michael's Inclusion proposals
- [sv-cc] Vote on the 3.1 systemVerilog LRM
- [sv-cc] Vote on the READ api
- [sv-cc] Vote/Poll/Review
- [sv-cc] Vote/Poll/Review announcement
- [sv-cc] votes on 3.1A donations
- [sv-cc] Voting rights in the Technical Committees are being reset
- [sv-cc] VPI and Let, 2414 (also 1728 and 1503)
- [sv-cc] VPI call to get SDF information?
- [sv-cc] VPI for soft constraints -- proposals
- [sv-cc] VPI handles for class (dynamic objects) discussion
- [sv-cc] VPI interface of unsized literals
- [sv-cc] VPI issues
- [sv-cc] VPI issues to be addressed
- [sv-cc] VPI model interface issues
- [sv-cc] VPI proposal for types on wires
- [sv-cc] VPI time related callbacks: specified time should be relative or absolute?
- [sv-cc] VPI vote
- [sv-cc] vpi_create
- [sv-cc] vpi_diagrams-1-15.pdf
- [sv-cc] vpi_diagrams-1-21-2004.pdf
- [sv-cc] vpi_diagrams-1-21-2004.pdf, 2nd try
- [sv-cc] vpi_diagrams-1-23-2004.pdf
- [sv-cc] vpi_diagrams_1-14.pdf
- [sv-cc] vpi_get_value_array proposal uploaded
- [sv-cc] vpi_sim_control
- [sv-cc] vpi_user.h
- [sv-cc] vpi_user.h: PLI error information structure
- [sv-cc] vpiAccessType for tasks/functions
- [sv-cc] vpiArrayType
- [sv-cc] vpiClassDefn from vpiClassObj
- [sv-cc] vpiCompOr
- [sv-cc] vpiConcatOp and Mantis 1702
- [sv-cc] vpiDirection
- [sv-cc] vpiEndColumn, vpiColumn properties for assertions
- [sv-cc] vpiHandle on DPI method port proposal
- [sv-cc] vpiInstance 1 to 1 relation from Class variable
- [sv-cc] vpiInterModPath with delays in Verilog code
- [sv-cc] vpiIsModPort property
- [sv-cc] vpiName
- [sv-cc] vpiObj, vpiObjectVal
- [sv-cc] vpiParent and ref objs
- [sv-cc] vpiParent proposal update
- [sv-cc] vpiParent proposal updated
- [sv-cc] vpiQualifer should be vpiQualifier
- [sv-cc] vpiReg and vpiLogic
- [sv-cc] VPIs for changing the log file..
- [sv-cc] vpiTypeSpec or vpiTypespec typo
- [sv-cc] vpiUnit
- [sv-cc] vpiVirtualInterfaceVar and vpiClassVar as vpiRefObj
- [sv-cc] web access for SV-AC face-to-face
- [sv-cc] Website update
- [sv-cc] Website updates
- [sv-cc] What DPI-OO is not
- [sv-cc] What happened to the meeting or Swapnajit or both?
- [sv-cc] What time is returned from an after delay callback
- [sv-cc] What to do with Mantis items that are no longer an issue?
- [sv-cc] What's up with the svdb (Mantis site) ?
- [sv-cc] Who will be able to make Wednesday's meeting?
- [sv-cc] Why is a vpiParameter traversable?
- [sv-cc] WIN32 and _WIN32 define
- [sv-cc] Work is allowed to continue until June 15th
- [sv-cc] Wrong syntax for import "DPI" ? Missing "function" or
- [sv-cc] Wrong syntax for import "DPI" ? Missing "function" or superfluous "function"?
- [sv-cc] Xrefs correct in clauses 38/40 of 3a draft
- [sv-cc] Yet another updated proposal for types on wires
- [sv-cc] Yet another upload for 533
- [sv-cc] {Disarmed} SV-CC Face-To-Face Meetings During DVCON
- [sv-cc] {Spam?} Our Standard Has Published; Accellera Sponsors No Charge Access
- [sv-champions] Champions feedback from April 10 conference call
- [sv-champions] Champions meeting 1/4/05
- [sv-champions] Email vote - ending August 13th
- [sv-champions] Email vote - Ending December 13th
- [sv-champions] Email vote on items for the 1/26/05 meeting
- [sv-champions] Minutes from the Champion's Aug 7th conference call
- [sv-ec] -- Location - Agenda for Full SV meeting on February 28
- [sv-ec] 1800 PAR
- [sv-ec] 890 scheduling algorithm questions
- [sv-ec] [Opaque] Pointers
- [sv-ec] Accellera SystemVerilog 3.1A Focus And Plans
- [sv-ec] Agenda And Plans For Full SV Meeting IN Mountain View s
- [sv-ec] Agenda And Plans For Full SV Meeting IN Mountain Views
- [sv-ec] Agenda for Full SV meeting on February 28
- [sv-ec] Changes within SystemVerilog organization (SV-EC and BNF)
- [sv-ec] Clarification on my earlier mail regarding on strings
- [sv-ec] Conflict due to range and packed_dimension
- [sv-ec] Congratulation TEAM - SystemVerilog 3.1A Approved By Accellera Board
- [sv-ec] Covergroup information in VPI object model
- [sv-ec] default value and initialization for handles
- [sv-ec] Draft 5 Changes Review Request
- [sv-ec] Draft 5 Changes Review Request - errata to errata
- [sv-ec] Editor's Notes in 3.1a Draft 3 LRM
- [sv-ec] features for next PAR
- [sv-ec] Full Committee SystemVerilog On November 14 - AT Mentor Graphics - San Jose
- [sv-ec] function external declarations and prototypes
- [sv-ec] IEEE P1800 Draft2 Available
- [sv-ec] Issues with Draft 4 LRM
- [sv-ec] New Operating Procedures
- [sv-ec] Notes from 26 February 2010 SystemVerilog Requirements Gathering Meeting
- [sv-ec] Opinions on proposed Feb. 19 meeting?
- [sv-ec] Our Standard Has Published; Accellera Sponsors No Charge Access
- [sv-ec] String proposal
- [sv-ec] SV Extensions Bug Database
- [sv-ec] SV-EC errata Meeting November 8, 2004 Minutes
- [sv-ec] SystemVerilog 3.1a and 1800-2005 remains in 1800-2012
- [sv-ec] SystemVerilog 3.1A Errata And IEEE P1800 Activities
- [sv-ec] SystemVerilog Errata Meeting on August 6.
- [sv-ec] SystemVerilog Errata Technical Planning Meeting on June 3 -- Mentor Graphics
- [sv-ec] SystemVerilog Face to Face Meeting on November 14
- [sv-ec] tasks and function default values
- [sv-ec] Unicode
- [sv-ec] Updated Event Scheduling Proposal (section 9.3) with new region descriptions]
- [sv-sc] Call for email votes on several proposals; ballots due Mon 7/21/08, 7am.
- [sv-sc] Results of Champions email vote ending Aug 13th
- [sv-sc] VPI and Let, 2414 (also 1728 and 1503)
- [SystemVerilog Errata 0000044]: var bit vs. vpiVarBitVar in VPI
- [SystemVerilog P1800 0000985]: cbSizeChange for queues too?
- [SystemVerilog P1800 0001603]: Unused vpiMultiArray declaration in vpi_user.h
- [SystemVerilog P1800 0001648]: Default reset for assertions
- [SystemVerilog P1800 0001700]: vpiTimeConst and vpiNullConst have the same value
- [SystemVerilog P1800 0001751]: Clarify vpiParent for part selects
- [SystemVerilog P1800 0002005]: Solution for glitch problem in immediate assertions
- [SystemVerilog P1800 0002572]: sv_vpi_user.h (Annex N source) needs repairs
- A couple of comments on 345
- a few comments/issues import, packages.
- a question about system verilog class deconstructor
- Accellera Technical Excellence Award - Call for Nominations
- Acknowledgements
- Afternoon meeting minutes for Dec 3rd meeting
- Agenda & Andrzej Proposal Slides
- Agenda And Attendance List -Second SystemVerilog Face to Face mee ting - December 4 - Mentor Graphics - San Jose
- Agenda for 9/24/02 meeting
- Agenda for Our Next Meeting - July 23
- Agenda for the face-to-face meeting
- Agenda for the face-to-face meeting on 12/03
- Agenda for the face-to-face meeting on 12/3
- Agenda for Today's conf. call - 12/11/2002
- Alternative to SvccBindSVcallee/r
- Andrzej's Proposal
- ANN: Two votes on SV 3.1A donations
- Annotated spreadsheet
- Another header file uploaded
- Answers to Question on Some Members of IEEEE
- Appointment of Chairs For SystemVerilog Basic Committee
- Appointment of Johny Srouji from Intel As Chairman of SV basic co mmittee
- Are we all thinking of the same use model for compatibility modes?
- Are we meeting today at the usual time?
- Arrangement For SV Committee Meeting On September 17.
- Arturo's comments -- esp. 35.5
- Assertion "and" and "or" operators in VPI
- Assertion API (section 27) corrections
- Assertion API comments
- Assertion API donation
- Assertion API Requirements document
- Assertion API v0.4
- Assertion API vote
- Assertion doc for 3.1
- Assertion review comments up until 17.8 (included)
- assertion/coverage API questions
- Assertions API v0.3
- ASWG Final Status
- Attendance list
- bind in VPI
- BNF Draft1 (BNF Draft3 changes)
- C++ APIs for simulators
- Calling SV from C
- Can Mantis 3123 be closed as 'not a mistake'?
- Cannot update Mantis items 1741 and 1751
- changes for 1503 uploaded
- checkers question
- Clarification of Entity-based participating/voting rules.
- clarification on my action item
- Collecting information for the Balloting spreadsheets]
- Comments on DirectC
- Comments on requirements
- Comments on VPI Extensions to SystemVerilog, December 19,2003 version
- Communications from SV Basic Committee
- Compatibility proposal uploaded for Mantis item #1385
- Completed issues
- Configuration compiler directive for DPI-OO open array arguments
- Confirmed List of Attendees and Interesting Statistics
- Confirmed List of Attendees and Interesting Statistics -- 23 Attendees
- Confirmed List of Attendees and Interesting Statistics -- add itions.
- Congratulation TEAM - SystemVerilog 3.1A Approved By Accellera Boa rd
- Congratulations - P1800 is approved
- const'ness vs reality
- Container class for open arrays
- Context Sensitive Function Calls - more constrains
- Corrected proposal for uwire
- Corrected SV-CC Meeting Minutes for 10-22-02
- Correction inputs for clause 36 dyn objects proposal
- Coverage API donation
- Coverage API Draft vote
- Coverage API requirements
- Coverage donation is accepted
- Coverage semantics
- Current SV-CC Errata?
- DAC Presentation of SV-2012 Enhancements - Cliff requests your input
- datatypes on net question
- Dealign with data types - information from SV Basic Committee
- Dec 3rd Face-to-Face logistics
- December 4 - SystemVerilog Full Committee Slides
- Direct C
- Direct-C API donation on behalf of SNPS
- DirectC C layer - open arrays and portability
- DirectC C layer - open arrays and portability - PLEASE READ
- DirectC C-Layer: open arrays and abstract access
- DirectC C-Layer: open arrays and abstract access - revised
- DirectC memory ownership - proposed rules
- DirectC proposal: Issues to discuss at today meeting
- DirectC Requirements Doc
- DirectC vote
- DirectC: access to arrays; pointers & handles
- DirectC: C layer
- DirectC: C layer - #define vs. typedef enum
- DirectC: C layer - abstract vs direct
- DirectC: C Layer - another revision
- DirectC: C layer - call by value vs. reference
- DirectC: C layer - indexing of sized and unsized arrays
- DirectC: C layer - naming convention
- DirectC: C layer - support for bit and part selects
- DirectC: C layer revised
- DirectC: C layer revised - more
- DirectC: C layer revised: longint --> long long
- DirectC: external modules vs. external/exported tasks
- DirectC: pointers and triggering sensitivity for arrays
- DirectC: properties/capabilities of external functions
- DirectC: proposals for Open Issues 1.2, 1.3, 1.8, 1.9, 1.10.
- Discussion about Coverage Donation
- Donations By Cadence
- Donations For SystemVerilog Deadline is Approaching
- Donations For SystemVerilog Deadline is Approaching]
- DPI Exported Task: suggested corrections
- DPI-OO comments
- DPI-OO proposal review
- DPI-OO reference "counts"
- DPI-OO: enums
- DPI_OO: Summary of issues
- Draft 5 Changes Review Request - part select
- Draft 5 Changes Review Request: Part-Select
- Draft 7 erratum in t_vpi_arrayvalue struct?
- Draft minutes for todays call.
- Draft Nov. 5, 2002 meeting minutes
- Draft of meeting minutes for Oct 22, 2002 SV-CC meeting
- Draft three of the merged data model.]
- Draft2 review assignments
- Draft2 review assignments. Mantis 1477
- DVCon Call For Papers
- Dynamic loader / argument overloading example
- Dynamic loader / argument overloading example2 + proposal update
- eda-stds announcement
- eda.org --> eda-stds.org ... and email should be back up now...
- eda.org email reflector problem
- Editing error in the Generates VPI diagram
- ELF documentation location - Sent by Darrel from Sun Microsystems
- encryption & vpi - sv #345
- Errata: LRM 26.4.1.2: Import Fn Arg Direction
- Explaining rational on 3090
- Extended (dynamic) linking
- extern
- External model ideas
- External model proposal #2
- Face to Face Meeting Plans for September 18 for the entire SV com mittee
- Face to Face Meeting Plans for September 18 for the entire SV committee
- Face-to-Face Meeting - Nov. 7th
- Face-to-Face Meeting on 3 December
- feedback for Kevin C proposal
- Feedback on 2226
- Feedback on Read API (VPI enhancement)
- Final (I hope) P1800/D5 recirculation ballot draft
- Final IEEE P1800 & P1364 Drafts
- Final List -- 35 Attendees
- Final LRM corrections from sv-cc
- Final P1364/D7 for recirculation ballot
- First SV-CC Meeting Scheduled
- Forwarded Message from [Raghuraman R <raghu@ti.com>]
- Fwd: [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 08/11/2004
- Fwd: Stu Sutherland's take on PLI/VPI/DirectC (from ESNUG Post 38)
- I would like to see this (and future) votes held according to the rules.
- I would like to see this (and future) votes held according tothe rules.
- IEEE P1800 Draft 5 Available For Download
- IEEE P1800 Draft 6 (Preliminary) Download ready for review
- IEEE P1800 Draft 8 Available for Download
- IEEE Site for SystemVerilog & Verilog Drafts
- IEEE Std. 1800-2005 Available for Purchase
- Immediate priority issues]
- Interrupts for IO
- Invitation to the SV-CC LRM Voting process
- Is there a meeting today?
- issue #160
- issue #282.
- issue #284
- ISSUE #?? Proposal: "queueable" attribute for functions
- ISSUE #?? Proposal: const attribute for input params
- Issue 1.1 - your proposal
- issue 1.4: No clear relationship to other APIs
- Issue 1.5: DirectC:Should use a common and unique prefix for all
- ISSUE 1.7:DirectC:Abstract Access Method requires rewrite of code
- ISSUE 2.1: Proposal for assertion VPI by Joao
- Issue within the ITC]
- ISSUE: cmodules vs. external "C" tasks
- ISSUE: Coverage -- A1_BT (from Michael's list)
- ISSUE: Direct vs. Abstract function parameter interfaces
- ISSUE: DirectC: Proposal: "queueable" attribute for functions
- ISSUE:DirectC - proposal to be discussed today
- ISSUE:DirectC:A DirectC external C function should override a built-in C function by the same name.
- ISSUE:DirectC:Abstract Access Method requires rewrite of code
- ISSUE:DirectC:DirectC i/f should support mechanism for callin g Verilog task/function from a DirectC application
- ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC
- ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC application
- ISSUE:DirectC:DirectC i/f should support mechanism forcalling Verilog task/function from a DirectC application
- ISSUE:DirectC:DirectC i/f should support mechanismforcalling Verilog task/function from a DirectC application
- ISSUE:DirectC:DirectC i/f should supportmechanism for calling Verilog task/function from a DirectC
- ISSUE:DirectC:Distinguish C and C++ code
- ISSUE:DirectC:How to find C/C++ code ???
- ISSUE:DirectC:No clear relationship to other API's
- ISSUE:DirectC:Proposal: const attribute for input params
- ISSUE:DirectC:Should use a common and unique prefix for all functions
- ISSUE:DirectC:Should use a common and unique prefix for allfunctions
- Issues found in my review.
- Jim's 3 context scenarios
- Joao & Andrzej's Vote on Kevin's proposal
- Joao Geada <joao@jurassic.synopsys.com>]
- Joao's assertion API doc.
- Joe's email address
- Last 2 email votes for 1364
- Last Call for Papers - EDP 2004 - Design Process Workshop
- Latest bnf available
- Link between coverage and formal tools
- Looking ahead
- Mantis #2447 just filed
- Mantis #3737 and #3192 updates completed
- Mantis 1775 fixes
- Mantis 2359
- Mantis 3022
- Mantis 3069: Relax rules for $global_clock resolution (reminder)
- Mantis 3192 and 757
- Mantis 3737 (AA ranges) proposal updated
- Mantis issues left in the resolved state
- Mantis item #3737 re. ranges of AAs
- mantis item 104: vcd file and data read API
- Mantis item 1477
- Mantis item 1503: Redoing the formal argument declarations
- Mantis item 1581 already done
- Mantis item 1653
- Mantis item 2226: Memory allocation schemes
- Mantis item 2226: Value change callback changes
- Mantis items 1503 and 2237 (VPI parts)
- Mantis proposal update for #1477
- Mantis proposal uploaded for 4130- please review
- Master list of SV-CC Mantis Items
- MEC & Legal Review for P1800_D6]
- meeting minutes
- Meeting Minutes 01/14/03
- Meeting minutes for 1/8/03
- Meeting Minutes for Dec 11, 2002
- Meeting minutes for the SV-CC Committee, 17-Dec-2002
- Meeting minutes for the SV-CC Committee, 17-Dec-2002 (RESEND)
- Meeting minutes for the SV-CC Committee, Nov 20th, DRAFT
- Meeting minutes for the SV-CC Committee, November 19, 2002
- Meeting minutes from November 26
- Meeting minutes of 10/01/02]
- Meeting reminder 01/07/03
- Meeting reminder 01/08/03
- Meeting reminder 01/14/03
- Meeting Reminder 01/15/03
- Meeting Reminder 10/22/02
- Meeting reminder 11/19
- Meeting reminder 11/26
- Meeting reminder 12/10
- Meeting reminder 12/10 - Please do your homework!
- Meeting reminder 12/17
- Meeting reminder 12/18
- Meeting reminder and web page update
- Meeting reminder for 11/05/02
- Meeting reminder for 11/12/02
- meeting reminder, 9/3/02
- Minutes - 11/7 SV-CC Face-to-Face Meeting
- Minutes for 08/06/2002 meeting
- Minutes January 7, 2003
- Minutes of meeting 10/01/02
- Minutes of meeting, 8/20/02
- Minutes of meeting, 9/3/2002
- Minutes of sv-cc meeting, 7/23/2002
- Minutes of the 10/28/02 SV-BC Meeting
- missing svPutPartSelectBit()
- missing svPutPartSelectBit() - and other oversights
- Modified "perspectives from a user" examples
- Modified Get/Put ... - svGetScopeFromName()/svPut UserData() o verhead+restrictions
- Modified Get/Put ... - svGetScopeFromName()/svPutUserData() o verhead+restrictions
- Modified Get/Put ... - svGetScopeFromName()/svPutUserData() o verhead, restrictions
- Modified Get/Put ... - svGetScopeFromName()/svPutUserData() overhead+restrictions
- Modified Get/Put ... - svGetScopeFromName()/svPutUserData() overhead, restrictions
- Modified Proposal ... - modified syntax for "export"
- Modified Proposal for Context Sensitive Function Calls
- More comments
- More on ISSUE 1.7
- More on ISSUE 1.7, More on Context Sensitivity Proposals
- More on ISSUE 1.7: direct access is a must
- My vote for the directC donation
- my vote on assertion API
- my vote on C API
- My vote on Direct-C donation
- New Chairman Is Appointed for SV-AC Committee
- New errata procedure?
- New Mantis #3115 added
- New PAR is Approved, Voting rights to be reset]
- next face to face date confirmation
- next face to face date confirmation - urgent!
- Next meeting
- Next rev of DPI task proposal - imp. tasks are always 'context'
- nitpick with svGetPartSelectBit() - svGetBits() ?
- No meeting tomorrow
- Object Code vs. Source Code compatibility
- Opinion on merging of P1364 and P1800
- Organizational Change within SV-CC
- Organizational issues
- Overview for Mantis item 3087
- P1735 draft review by your working group
- P1800 Approval Notification
- P1800 issues ready for Champions review
- Part 1 of face 2 face minutes
- Patent Disclosure relating to Testbench Automation and Covera ge
- Patent Disclosure relating to Testbench Automation and Coverage
- phone conf. access for face to face
- Please vote on Mantis 3118
- Pls. review and comment the requirements for a direct foreign language interface
- Pls. review and comment the requirements for a direct foreignlanguage interface
- Pls. review and comment the requirements for a directforeignlanguage interface
- pointers & handles
- Poll - Issues 1.7, 1.11 - C-sde interface
- Poll on 2.1 (Assertion API)
- Poll on errata for open arrays
- Poll on ISSUE 1.1
- Poll on ISSUE 1.7
- Poll on issues 1.7, 1.11
- Polls on (1.7, 1.11) and 2.1
- Posted LRM approved by Technical Chairs and Committees
- pragmas action item
- Presentation for Face-to-Face
- Prioritization on SVCC's Charter, API issues
- Process for BNF and LRM changes
- Progress towards SystemVerilog 3.1
- Proposal 528 does not agree with 473 -- please fix!
- Proposal for multiple statements in task or function
- Proposal to (almost) deprecate TF and ACC routines
- Proposal Update
- Proposal Vote
- Proposed global requirements for all SV APIs
- Proposed requirements for SV assertion API
- Qualified voting members
- Question & Comment
- question from the CC committee
- Question on cm_fsm*()
- questions on SV-CC 303/PTF 342
- questions on SV-CC 303/PTF 342 (fwd)
- questions on SV-CC 313/PTF 296
- questions on SV-CC 313/PTF 296 (fwd)
- READ API with comments
- Reasons for extern modules
- references, bibliography (fwd)
- Reminder - meeting tomorrow
- Reminder for 8/20/02 conference call
- Reminder: Please review DPI-OO proposals
- representation of SV data types - updated version
- Request to review 2494
- Requirements for a direct foreign language interface
- Requirements for debugging capabilities
- Resolution of Mantis 1646
- resolution of remaining sv-cc LRM issues
- Resolution of sv-cc LRM issues
- Result of poll on 1.1(b)
- Result of poll on 2.1(Assertion) and reminder on (1.7, 1.11)
- Results of polling on ISSUE 1.6
- Review of P1800/D3 Section 36 (VPI Data Model)
- revised DirectC proposal aka "17 items"
- Second SystemVerilog Face to Face meeting - December 4 - Mentor G raphics - San Jose
- Semantics of disable as applied to task/func arguments
- Size of members of a packed union
- some responses to some comments
- Some thoughts going forward ...
- Some thoughts on ISSUE lifecycle and schedule
- Some topics for discussions in tomorrow's (10/29) meeting
- Special 1364 Meeting to resolve Issue 680 (Configs)
- Special State
- SSUE:DirectC:Name resolution between a Verilog task and a DirectC exte rnal C function.
- Statements to the Press
- strategy for DirectC
- String class/pointer
- Subject: Minutes of meeting, 9/3/2002
- Suggestion for proposal for #121
- Suggestions needed for coverage API
- SV 3.1 draft 2 LRM available
- SV 3.1 draft 6: additional urgent sv-cc related correc tions
- SV 3.1 draft 6: additional urgent sv-cc related corrections
- SV 3.1a Hypertext BNF
- SV 342
- SV APIs (Assertion)
- SV Chairs Presentations From September face to face meeting
- SV Committee Requests to SV-EC
- SV LRM review issues
- SV LRM Review: 277, and 265
- sv-bc Status Slides
- sv-cc 2 sv-ec: extern/export requirements
- SV-CC Agenda for May-16-2012
- SV-CC at SV-09172002
- SV-CC Committee F2F Meeting - 1/23
- SV-CC Conf. Call Info - Wednesday 11/20
- SV-CC F2F Meeting Details - 1/23
- SV-CC F2F Meeting Details - 1/23 - agenda?
- SV-CC face-to-face meeting in January - postpone by 1 week?
- SV-CC LRM voting status
- SV-CC Meeting agenda for Apr-27-2011
- SV-CC meeting agenda for Aug-3-2011
- SV-CC Meeting agenda for Feb-02-2011
- SV-CC Meeting agenda for Jan-05-2011
- SV-CC meeting agenda for Jun-08-2011
- SV-CC Meeting agenda for May-11-2011
- SV-CC Meeting agenda for Nov-10-2010
- SV-CC Meeting Minutes - 10-29-02
- SV-CC Meeting Minutes 10/15/02
- SV-CC Meeting Minutes 12/18/02
- SV-CC meeting tomorrow]
- SV-CC Minutes 12/11/02
- SV-CC next face to face meeting
- SV-CC Proposal revision 7
- sv-cc reflector test email
- SV-CC schedule
- SV-CC schedule]
- SV-CC Web Page is on-line ....
- sv-cc-lrm-v0.7.pdf
- SV-CC: Minutes
- sv-cc: proposed errata for LRM-5 & LRM-17
- sv-dc maillist
- SV-EC LRM
- SV/C/C++ Interfacing
- SV_CC meeting minutes for 15 Jan, 2003
- SV_CC meeting minutes for 15 Jan, 2003: My action items
- sv_vpi_user.h revision + some comments
- Synopsys Assertion API vote
- Synopsys System Verilog API Donations Presentation
- System Verilog Assertion API.pdf;System Verilog Assertion API.doc
- SystemVerilog (P1800) and Verilog (P1364) Draft Documents Available
- SystemVerilog 3.1 Is An Accellera Standard
- SystemVerilog 3.1 LRM, draft 4
- SystemVerilog Committee Meeting At Synopsys - September 17
- SystemVerilog Committee Meeting Final Agenda - September 17
- SystemVerilog Errata Meeting on August 6.
- SystemVerilog Requirements Gathering Meeting
- SystemVerilog Strategy, Plans and Proposal to address issues
- SystemVerilog-2009 Update Presentations at DAC by Cliff & Stu
- Take 3: Modified Proposal for Context Sensitive Function Calls
- TCC (CHAIRS OF SV COMMITTEES) VISION
- Technical Committees Operating Guidelines - as a Working Group
- Technical Discussion of DirectC
- test
- test - please ignore
- Test for Jay Lawrence on sv-cc
- The Action item for you from the SV-BC
- The proposal for Mantis time 1835 is a framemaker file
- The SV Chairs Process for SV 3.1 LRM standardization
- Thursday meeting
- Time for sv-cc 50 continuation mtg ?
- to VPI or not ?
- Typespec diagram : Section 32.17
- Unicode
- upcoming face to face meetings this year and next year
- Updated Assertions API proposal (v0.2)
- Updated compatibility proposal
- Updated proposal for SV/C/C++ cross calling
- Uploaded new revision of 3087 proposal (DPI-OO)
- Uploaded proposal for 3087
- uploaded proposal for 3118
- Use Cases & Requirements
- use of rational rose for the 1364 and 1800 VPI information model
- Version 2 of DPI LRM
- Francoise Martinolle (Mon Mar 17 2003 - 07:02:49 PST)
- Stickley, John (Fri Mar 14 2003 - 14:15:32 PST)
- Warmke, Doug (Thu Mar 13 2003 - 22:07:28 PST)
- Michael Rohleder (Thu Mar 13 2003 - 14:02:48 PST)
- Andrzej Litwiniuk (Thu Mar 13 2003 - 07:22:26 PST)
- Warmke, Doug (Wed Mar 12 2003 - 18:09:01 PST)
- Michael Rohleder (Wed Mar 12 2003 - 16:29:24 PST)
- Stickley, John (Wed Mar 12 2003 - 14:22:48 PST)
- Warmke, Doug (Wed Mar 12 2003 - 12:00:53 PST)
- Andrzej Litwiniuk (Wed Mar 12 2003 - 11:54:01 PST)
- Warmke, Doug (Wed Mar 12 2003 - 10:34:56 PST)
- Andrzej Litwiniuk (Tue Mar 11 2003 - 17:53:25 PST)
- Stickley, John (Tue Mar 11 2003 - 17:22:14 PST)
- Andrzej Litwiniuk (Tue Mar 11 2003 - 17:13:43 PST)
- Warmke, Doug (Tue Mar 11 2003 - 13:59:23 PST)
- Warmke, Doug (Tue Mar 11 2003 - 13:05:44 PST)
- Stickley, John (Mon Mar 10 2003 - 13:55:52 PST)
- Version 2 of DPI LRM - partial results of poll on names
- Version 2 of DPI LRM]
- Vote on Assertions API (VPI Extensions)
- Vote on C API
- vote on DirectC C API
- Vote on Kevin's proposal
- vote on the 17 items
- VOTE on the assertion API
- vote on the coverage API
- vote on the direct C api
- vote on the directC api
- vote on the DirectC C API
- Voting for Acceptance of Coverage API donation
- Voting Guidelines
- Voting Guidelines - further information
- Voting on ISSUE 1.6
- Voting on ISSUE 1.6 - My Ballot
- Voting on ISSUE 1.6 - My vote
- vpi Identifier for property and sequence declarations
- VPI in Perl..
- VPI issues
- VPI requirements for System Verilog
- vpi_user.h
- vpi_user.h - P1364-2005/D5
- vpi_user.h: PLI error information structure
- vpiAssertion - 1800- clarification
- vpiObj, vpiObjectVal
- Website updated
- What to do with Mantis items that are no longer an issue?
- What's up with the svdb (Mantis site) ?
- Yatin Trevidi Accepted the Chair position for SV CC
- {Spam?} [sv-cc] P1800-2012 Ballot Resolution Committee Meeting May 21st
- Last message date: Thu Jan 16 2014 - 07:29:03 PST
- Archived on: Thu Jan 16 2014 - 07:29:08 PST