System/Verilog C/C++ Committee By Thread
- test - please ignore Steve Grout (Tue Jun 11 2002 - 22:19:07 PDT)
- test Vassilios.Gerousis@Infineon.Com (Tue Jul 02 2002 - 22:28:41 PDT)
- First SV-CC Meeting Scheduled Ghassan Khoory (Fri Jul 05 2002 - 09:31:45 PDT)
- New Chairman Is Appointed for SV-AC Committee Vassilios.Gerousis@Infineon.Com (Wed Jul 10 2002 - 22:50:17 PDT)
- C++ APIs for simulators Kevin Cameron x3251 (Fri Jul 12 2002 - 15:30:04 PDT)
- sv-cc reflector test email Ghassan Khoory (Tue Jul 16 2002 - 08:26:06 PDT)
- FW: SV-CC: Minutes Vassilios.Gerousis@Infineon.Com (Tue Jul 16 2002 - 20:32:45 PDT)
- test - please ignore Steve Grout (Wed Jul 17 2002 - 09:45:34 PDT)
- Direct-C API donation on behalf of SNPS Andrzej Litwiniuk (Thu Jul 18 2002 - 08:36:08 PDT)
- Coverage API donation Joao Geada (Thu Jul 18 2002 - 10:13:43 PDT)
- Assertion API donation Joao Geada (Thu Jul 18 2002 - 10:14:12 PDT)
- Re: Size of members of a packed union Kevin Cameron (Fri Jul 19 2002 - 10:36:33 PDT)
- Agenda for Our Next Meeting - July 23 Ghassan Khoory (Mon Jul 22 2002 - 15:04:35 PDT)
- Yatin Trevidi Accepted the Chair position for SV CC Vassilios.Gerousis@Infineon.Com (Wed Jul 24 2002 - 00:35:21 PDT)
- Minutes of sv-cc meeting, 7/23/2002 Joao Geada (Fri Jul 26 2002 - 08:08:55 PDT)
- Requirements for a direct foreign language interface Andrzej Litwiniuk (Tue Jul 30 2002 - 14:44:25 PDT)
- Requirements for a direct foreign language interface Yatin Trivedi (Wed Jul 31 2002 - 23:31:08 PDT)
- Requirements for a direct foreign language interface Yatin Trivedi (Thu Aug 01 2002 - 21:53:47 PDT)
- Fwd: Stu Sutherland's take on PLI/VPI/DirectC (from ESNUG Post 38) Joao Geada (Fri Aug 02 2002 - 06:47:54 PDT)
- [Fwd: Minutes of sv-cc meeting, 7/23/2002] Yatin Trivedi (Mon Aug 05 2002 - 21:37:39 PDT)
- [Fwd: Returned mail: see transcript for details] Michael Rohleder (Tue Aug 06 2002 - 10:29:21 PDT)
- Minutes for 08/06/2002 meeting Joao Geada (Thu Aug 08 2002 - 15:41:22 PDT)
- Pls. review and comment the requirements for a direct foreign language interface Andrzej Litwiniuk (Fri Aug 09 2002 - 14:11:10 PDT)
- Use Cases & Requirements Michael Rohleder (Sun Aug 11 2002 - 16:09:54 PDT)
- Proposed global requirements for all SV APIs Joao Geada (Wed Aug 14 2002 - 14:13:05 PDT)
- Proposed requirements for SV assertion API Joao Geada (Wed Aug 14 2002 - 14:14:20 PDT)
- Coverage API requirements Joao Geada (Fri Aug 16 2002 - 14:48:11 PDT)
- Comments on requirements Yatin Trivedi (Mon Aug 19 2002 - 00:09:12 PDT)
- FW: Donations For SystemVerilog Deadline is Approaching Vassilios.Gerousis@Infineon.Com (Mon Aug 19 2002 - 22:49:11 PDT)
- [Fwd: FW: Donations For SystemVerilog Deadline is Approaching] Yatin Trivedi (Tue Aug 20 2002 - 06:41:59 PDT)
- Reminder for 8/20/02 conference call Yatin Trivedi (Tue Aug 20 2002 - 06:19:07 PDT)
- Minutes of meeting, 8/20/02 Yatin Trivedi (Wed Aug 21 2002 - 10:48:08 PDT)
- clarification on my action item Francoise Martinolle (Wed Aug 21 2002 - 11:26:27 PDT)
- Face to Face Meeting Plans for September 18 for the entire SV com mittee Vassilios.Gerousis@Infineon.Com (Fri Aug 23 2002 - 07:14:15 PDT)
- Answers to Question on Some Members of IEEEE Vassilios.Gerousis@Infineon.Com (Wed Aug 28 2002 - 23:21:05 PDT)
- RE: Face to Face Meeting Plans for September 18 for the entire SV committee Vassilios.Gerousis@Infineon.Com (Thu Aug 29 2002 - 02:06:12 PDT)
- Link between coverage and formal tools Alain Raynaud (Thu Aug 29 2002 - 11:01:37 PDT)
- SystemVerilog Committee Meeting At Synopsys - September 17 Vassilios.Gerousis@Infineon.Com (Sat Aug 31 2002 - 03:12:46 PDT)
- Object Code vs. Source Code compatibility Michael Rohleder (Sat Aug 31 2002 - 09:12:16 PDT)
- Confirmed List of Attendees and Interesting Statistics Vassilios.Gerousis@Infineon.Com (Mon Sep 02 2002 - 03:57:36 PDT)
- meeting reminder, 9/3/02 Yatin Trivedi (Mon Sep 02 2002 - 08:33:04 PDT)
- RE: Confirmed List of Attendees and Interesting Statistics -- add itions. Vassilios.Gerousis@Infineon.Com (Mon Sep 02 2002 - 20:55:29 PDT)
- Donations By Cadence Vassilios.Gerousis@Infineon.Com (Mon Sep 02 2002 - 21:11:49 PDT)
- DirectC Requirements Doc trivedi@pacbell.net (Mon Sep 02 2002 - 23:56:09 PDT)
- RE: Confirmed List of Attendees and Interesting Statistics -- 23 Attendees Vassilios.Gerousis@Infineon.Com (Tue Sep 03 2002 - 03:21:26 PDT)
- Progress towards SystemVerilog 3.1 Vassilios.Gerousis@Infineon.Com (Tue Sep 03 2002 - 22:36:41 PDT)
- Appointment of Chairs For SystemVerilog Basic Committee Vassilios.Gerousis@Infineon.Com (Tue Sep 03 2002 - 23:36:40 PDT)
- Final List -- 35 Attendees Vassilios.Gerousis@Infineon.Com (Fri Sep 06 2002 - 02:40:42 PDT)
- Minutes of meeting, 9/3/2002 Joao Geada (Mon Sep 09 2002 - 14:35:59 PDT)
- Subject: Minutes of meeting, 9/3/2002 Vassilios.Gerousis@Infineon.Com (Mon Sep 09 2002 - 22:10:46 PDT)
- VPI requirements for System Verilog Francoise Martinolle (Tue Sep 10 2002 - 16:13:22 PDT)
- Arrangement For SV Committee Meeting On September 17. Vassilios.Gerousis@Infineon.Com (Tue Sep 10 2002 - 23:48:31 PDT)
- SystemVerilog Committee Meeting Final Agenda - September 17 Vassilios.Gerousis@Infineon.Com (Thu Sep 12 2002 - 01:35:54 PDT)
- Next meeting Yatin Trivedi (Thu Sep 12 2002 - 20:09:04 PDT)
- The SV Chairs Process for SV 3.1 LRM standardization Vassilios.Gerousis@Infineon.Com (Fri Sep 13 2002 - 00:26:05 PDT)
- ELF documentation location - Sent by Darrel from Sun Microsystems Vassilios.Gerousis@Infineon.Com (Sat Sep 14 2002 - 11:28:55 PDT)
- Assertion API Requirements document Yatin Trivedi (Sun Sep 15 2002 - 22:27:09 PDT)
- Communications from SV Basic Committee Yatin Trivedi (Mon Sep 23 2002 - 09:57:54 PDT)
- Dealign with data types - information from SV Basic Committee Yatin Trivedi (Mon Sep 23 2002 - 09:59:46 PDT)
- Synopsys System Verilog API Donations Presentation Joao Geada (Mon Sep 23 2002 - 13:31:42 PDT)
- Agenda for 9/24/02 meeting Yatin Trivedi (Mon Sep 23 2002 - 22:15:20 PDT)
- Comments on DirectC Swapnajit Mittra (Tue Sep 24 2002 - 22:15:25 PDT)
- Joao Geada <joao@jurassic.synopsys.com>] Vassilios.Gerousis@Infineon.Com (Thu Sep 26 2002 - 11:12:12 PDT)
- [Fwd: Minutes for the meeting on 9/24] Yatin Trivedi (Thu Sep 26 2002 - 13:13:50 PDT)
- SV-CC at SV-09172002 Yatin Trivedi (Thu Sep 26 2002 - 13:53:49 PDT)
- Voting Guidelines Yatin Trivedi (Thu Sep 26 2002 - 14:19:13 PDT)
- Re: [sv-ac] FW: Requirements for debugging capabilities Alain Raynaud (Fri Sep 27 2002 - 09:49:41 PDT)
- My vote for the directC donation Francoise Martinolle (Fri Sep 27 2002 - 15:07:04 PDT)
- My vote on Direct-C donation Stuart Swan (Fri Sep 27 2002 - 15:55:55 PDT)
- Voting Guidelines - further information Yatin Trivedi (Fri Sep 27 2002 - 17:21:42 PDT)
- Fwd: Re: [Fwd: Minutes for the meeting on 9/24]--- my vote on DirectC Yatin Trivedi (Fri Sep 27 2002 - 17:39:17 PDT)
- TCC (CHAIRS OF SV COMMITTEES) VISION Vassilios.Gerousis@Infineon.Com (Sat Sep 28 2002 - 02:37:51 PDT)
- SV-CC Web Page is on-line .... Yatin Trivedi (Sat Sep 28 2002 - 07:57:33 PDT)
- RE: I would like to see this (and future) votes held according to the rules. Dennis Brophy (Mon Sep 30 2002 - 09:20:46 PDT)
- [Fwd: Fwd: Synopsys Vote for DirectC donation] Yatin Trivedi (Mon Sep 30 2002 - 20:22:31 PDT)
- 10/1/02 meeting remidner Yatin Trivedi (Mon Sep 30 2002 - 22:40:50 PDT)
- [Fwd: Majordomo results: (no subject)] Yatin Trivedi (Tue Oct 01 2002 - 09:44:00 PDT)
- SV APIs (Assertion) Kevin Cameron (Tue Oct 01 2002 - 10:22:46 PDT)
- Qualified voting members Yatin Trivedi (Tue Oct 01 2002 - 10:46:11 PDT)
- Minutes of meeting 10/01/02 Yatin Trivedi (Thu Oct 03 2002 - 21:24:06 PDT)
- Website updated Yatin Trivedi (Mon Oct 07 2002 - 00:16:58 PDT)
- Voting Guidelines Yatin Trivedi (Mon Oct 07 2002 - 00:43:35 PDT)
- Synopsys Assertion API vote Joao Geada (Mon Oct 07 2002 - 14:01:59 PDT)
- Prioritization on SVCC's Charter, API issues Warmke, Doug (Mon Oct 07 2002 - 15:15:24 PDT)
- Vote on the assertion API Francoise Martinolle (Mon Oct 07 2002 - 15:23:50 PDT)
- Technical Discussion of DirectC Warmke, Doug (Mon Oct 07 2002 - 15:47:10 PDT)
- Is there a meeting today? Francoise Martinolle (Tue Oct 08 2002 - 09:07:25 PDT)
- SV Chairs Presentations From September face to face meeting Vassilios.Gerousis@Infineon.Com (Mon Oct 14 2002 - 04:44:02 PDT)
- Re: $sv-ec Minutes / Pointers Kevin Cameron x3251 (Mon Oct 14 2002 - 15:49:30 PDT)
- Appointment of Johny Srouji from Intel As Chairman of SV basic co mmittee Vassilios.Gerousis@Infineon.Com (Mon Oct 14 2002 - 22:12:06 PDT)
- Reminder - meeting tomorrow Yatin Trivedi (Mon Oct 14 2002 - 23:30:53 PDT)
- [Fwd: Re: Meeting minutes of 10/01/02] Yatin Trivedi (Tue Oct 15 2002 - 09:22:42 PDT)
- SV-CC Meeting Minutes 10/15/02 Warmke, Doug (Tue Oct 15 2002 - 15:29:28 PDT)
- Question on cm_fsm*() Swapnajit Mittra (Tue Oct 15 2002 - 17:43:01 PDT)
- Voting for Acceptance of Coverage API donation Yatin Trivedi (Tue Oct 15 2002 - 22:29:07 PDT)
- Discussion about Coverage Donation Michael Rohleder (Thu Oct 17 2002 - 04:23:33 PDT)
- Coverage semantics Joao.Geada@synopsys.com (Thu Oct 17 2002 - 15:37:27 PDT)
- ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC application Swapnajit Mittra (Sat Oct 19 2002 - 20:52:23 PDT)
- ISSUE:DirectC:A DirectC external C function should override a built-in C function by the same name. Swapnajit Mittra (Sat Oct 19 2002 - 21:27:07 PDT)
- SSUE:DirectC:Name resolution between a Verilog task and a DirectC exte rnal C function. Swapnajit Mittra (Sat Oct 19 2002 - 21:52:43 PDT)
- RE: ISSUE:DirectC:DirectC i/f should support mechanism for callin g Verilog task/function from a DirectC application Warmke, Doug (Mon Oct 21 2002 - 17:30:56 PDT)
- Meeting Reminder 10/22/02 Yatin Trivedi (Mon Oct 21 2002 - 20:14:24 PDT)
- Draft of meeting minutes for Oct 22, 2002 SV-CC meeting Amouroux, John (Tue Oct 22 2002 - 15:10:56 PDT)
- Coverage API Draft vote Yatin Trivedi (Wed Oct 23 2002 - 00:06:41 PDT)
- Re: ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC application Swapnajit Mittra (Wed Oct 23 2002 - 01:13:40 PDT)
- Re: ISSUE:DirectC:DirectC i/f should support mechanism forcalling Verilog task/function from a DirectC application Kevin Cameron x3251 (Wed Oct 23 2002 - 11:25:21 PDT)
- RE: ISSUE:DirectC:DirectC i/f should support mechanismforcalling Verilog task/function from a DirectC application Stuart Swan (Wed Oct 23 2002 - 16:37:48 PDT)
- RE: ISSUE:DirectC:DirectC i/f should support mechanismforcalling Verilog task/function from a DirectC application Kevin Cameron x3251 (Wed Oct 23 2002 - 17:31:17 PDT)
- Patent Disclosure relating to Testbench Automation and Coverage Michael McNamara (Thu Oct 24 2002 - 15:36:57 PDT)
- RE: Patent Disclosure relating to Testbench Automation and Covera ge Vassilios.Gerousis@Infineon.Com (Fri Oct 25 2002 - 02:07:07 PDT)
- PROPOSAL: Re: ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC Stickley, John (Fri Oct 25 2002 - 08:53:27 PDT)
- vote on the coverage API Francoise Martinolle (Fri Oct 25 2002 - 11:58:00 PDT)
- Coverage donation is accepted Yatin Trivedi (Fri Oct 25 2002 - 22:39:50 PDT)
- Re: ISSUE:DirectC:DirectC i/f should support mechanism for calling Verilog task/function from a DirectC application Swapnajit Mittra (Mon Oct 28 2002 - 20:35:15 PST)
- Re: ISSUE:DirectC:A DirectC external C function should override a built-in C function by the same name. Swapnajit Mittra (Mon Oct 28 2002 - 20:38:24 PST)
- Re: SSUE:DirectC:Name resolution between a Verilog task and a DirectC exte rnal C function. Swapnajit Mittra (Mon Oct 28 2002 - 20:40:13 PST)
- Meeting reminder and web page update Yatin Trivedi (Mon Oct 28 2002 - 22:24:53 PST)
- Some topics for discussions in tomorrow's (10/29) meeting Swapnajit Mittra (Mon Oct 28 2002 - 22:54:52 PST)
- ISSUE:DirectC:No clear relationship to other API's Michael Rohleder (Tue Oct 29 2002 - 08:43:50 PST)
- ISSUE:DirectC - proposal to be discussed today Andrzej Litwiniuk (Tue Oct 29 2002 - 09:04:15 PST)
- ISSUE:DirectC:Should use a common and unique prefix for all functions Michael Rohleder (Tue Oct 29 2002 - 08:52:48 PST)
- SV-CC Meeting Minutes - 10-29-02 Stickley, John (Tue Oct 29 2002 - 15:18:14 PST)
- Corrected SV-CC Meeting Minutes for 10-22-02 Amouroux, John (Tue Oct 29 2002 - 15:47:44 PST)
- Organizational Change within SV-CC Vassilios.Gerousis@Infineon.Com (Thu Oct 31 2002 - 04:13:54 PST)
- Face-to-Face Meeting - Nov. 7th Ghassan Khoory (Fri Nov 01 2002 - 06:59:38 PST)
- Re: ISSUE:DirectC:Should use a common and unique prefix for all functions Swapnajit Mittra (Sun Nov 03 2002 - 11:54:22 PST)
- Meeting reminder for 11/05/02 Swapnajit Mittra (Mon Nov 04 2002 - 22:22:57 PST)
- Agenda for the face-to-face meeting Swapnajit Mittra (Tue Nov 05 2002 - 00:48:24 PST)
- Thursday meeting Francoise Martinolle (Tue Nov 05 2002 - 06:16:35 PST)
- issue 1.4: No clear relationship to other APIs Francoise Martinolle (Tue Nov 05 2002 - 06:59:09 PST)
- Issue 1.5: DirectC:Should use a common and unique prefix for all Francoise Martinolle (Tue Nov 05 2002 - 07:48:24 PST)
- ISSUE:DirectC:Abstract Access Method requires rewrite of code Michael Rohleder (Tue Nov 05 2002 - 08:26:38 PST)
- ISSUE:DirectC:Distinguish C and C++ code Michael Rohleder (Tue Nov 05 2002 - 08:32:26 PST)
- ISSUE:DirectC:How to find C/C++ code ??? Michael Rohleder (Tue Nov 05 2002 - 09:03:38 PST)
- Draft Nov. 5, 2002 meeting minutes Amouroux, John (Tue Nov 05 2002 - 12:47:07 PST)
- DirectC: external modules vs. external/exported tasks Andrzej Litwiniuk (Wed Nov 06 2002 - 17:05:36 PST)
- DirectC: properties/capabilities of external functions Andrzej Litwiniuk (Wed Nov 06 2002 - 18:20:10 PST)
- ISSUE: cmodules vs. external "C" tasks Warmke, Doug (Wed Nov 06 2002 - 21:31:16 PST)
- Agenda for the face-to-face meeting Swapnajit Mittra (Wed Nov 06 2002 - 21:33:08 PST)
- ISSUE: Direct vs. Abstract function parameter interfaces Warmke, Doug (Wed Nov 06 2002 - 23:11:58 PST)
- DirectC proposal: Issues to discuss at today meeting Francoise Martinolle (Thu Nov 07 2002 - 07:21:05 PST)
- Agenda & Andrzej Proposal Slides Ghassan Khoory (Thu Nov 07 2002 - 09:08:26 PST)
- phone conf. access for face to face Stickley, John (Thu Nov 07 2002 - 09:07:28 PST)
- Presentation for Face-to-Face Stickley, John (Thu Nov 07 2002 - 13:17:47 PST)
- Second SystemVerilog Face to Face meeting - December 4 - Mentor G raphics - San Jose Vassilios.Gerousis@Infineon.Com (Thu Nov 07 2002 - 21:56:57 PST)
- Re: Minutes of the 10/28/02 SV-BC Meeting Francoise Martinolle (Mon Nov 11 2002 - 08:33:08 PST)
- upcoming face to face meetings this year and next year Francoise Martinolle (Mon Nov 11 2002 - 12:14:38 PST)
- Minutes - 11/7 SV-CC Face-to-Face Meeting Ghassan Khoory (Mon Nov 11 2002 - 13:40:56 PST)
- Meeting reminder for 11/12/02 Swapnajit Mittra (Mon Nov 11 2002 - 23:18:19 PST)
- Some thoughts on ISSUE lifecycle and schedule Swapnajit Mittra (Mon Nov 11 2002 - 22:56:32 PST)
- Alternative to SvccBindSVcallee/r Kevin Cameron x3251 (Tue Nov 12 2002 - 10:40:26 PST)
- Looking ahead Francoise Martinolle (Wed Nov 13 2002 - 08:31:47 PST)
- External model ideas Warmke, Doug (Fri Nov 15 2002 - 11:49:54 PST)
- revised DirectC proposal aka "17 items" Andrzej Litwiniuk (Mon Nov 18 2002 - 20:04:56 PST)
- Modified Proposal for Context Sensitive Function Calls Stickley, John (Mon Nov 18 2002 - 20:18:39 PST)
- Meeting reminder 11/19 Swapnajit Mittra (Mon Nov 18 2002 - 23:18:33 PST)
- Draft minutes for todays call. Michael Rohleder (Tue Nov 19 2002 - 10:51:36 PST)
- SV-CC Conf. Call Info - Wednesday 11/20 Ghassan Khoory (Tue Nov 19 2002 - 12:18:45 PST)
- Meeting minutes for the SV-CC Committee, November 19, 2002 Michael Rohleder (Wed Nov 20 2002 - 02:02:47 PST)
- Take 3: Modified Proposal for Context Sensitive Function Calls Stickley, John (Wed Nov 20 2002 - 06:44:21 PST)
- meeting minutes Francoise Martinolle (Wed Nov 20 2002 - 06:57:15 PST)
- Meeting minutes for the SV-CC Committee, Nov 20th, DRAFT Michael Rohleder (Wed Nov 20 2002 - 11:18:04 PST)
- Voting on ISSUE 1.6 Swapnajit Mittra (Thu Nov 21 2002 - 12:53:25 PST)
- Face-to-Face Meeting on 3 December Warmke, Doug (Thu Nov 21 2002 - 13:57:51 PST)
- ISSUE 1.7:DirectC:Abstract Access Method requires rewrite of code Andrzej Litwiniuk (Thu Nov 21 2002 - 14:24:27 PST)
- More on ISSUE 1.7 Warmke, Doug (Thu Nov 21 2002 - 15:54:03 PST)
- RE: Modified Proposal ... - modified syntax for "export" Warmke, Doug (Thu Nov 21 2002 - 18:22:08 PST)
- Voting on ISSUE 1.6 Joao.Geada@synopsys.com (Fri Nov 22 2002 - 12:05:42 PST)
- Voting on ISSUE 1.6 - My Ballot Stickley, John (Fri Nov 22 2002 - 15:50:44 PST)
- [Fwd: Voting on ISSUE 1.6] Bassam Tabbara (Fri Nov 22 2002 - 16:12:58 PST)
- vote on the 17 items Francoise Martinolle (Fri Nov 22 2002 - 20:44:07 PST)
- Agenda for the face-to-face meeting on 12/03 Swapnajit Mittra (Sat Nov 23 2002 - 09:57:41 PST)
- Meeting reminder 11/26 Swapnajit Mittra (Mon Nov 25 2002 - 21:37:42 PST)
- Results of polling on ISSUE 1.6 Swapnajit Mittra (Mon Nov 25 2002 - 22:39:47 PST)
- ISSUE #?? Proposal: "queueable" attribute for functions Stickley, John (Tue Nov 26 2002 - 08:20:11 PST)
- Context Sensitive Function Calls - more constrains Andrzej Litwiniuk (Tue Nov 26 2002 - 08:35:53 PST)
- Re: ISSUE: DirectC: Proposal: "queueable" attribute for functions Swapnajit Mittra (Tue Nov 26 2002 - 08:41:03 PST)
- ISSUE #?? Proposal: const attribute for input params Michael Rohleder (Tue Nov 26 2002 - 10:31:43 PST)
- Extended (dynamic) linking Kevin Cameron x3251 (Tue Nov 26 2002 - 13:13:20 PST)
- Re: ISSUE:DirectC:Proposal: const attribute for input params Swapnajit Mittra (Tue Nov 26 2002 - 22:04:30 PST)
- Re: Attendance list Michael Rohleder (Wed Nov 27 2002 - 09:28:52 PST)
- [Fwd: ISSUE #?? Proposal: const attribute for input params] Michael Rohleder (Wed Nov 27 2002 - 10:02:27 PST)
- Dec 3rd Face-to-Face logistics Warmke, Doug (Wed Nov 27 2002 - 11:43:09 PST)
- DirectC: proposals for Open Issues 1.2, 1.3, 1.8, 1.9, 1.10. Andrzej Litwiniuk (Wed Nov 27 2002 - 13:17:51 PST)
- Re: Issue 1.1 - your proposal Stickley, John (Wed Nov 27 2002 - 17:55:42 PST)
- RE: More on ISSUE 1.7, More on Context Sensitivity Proposals Warmke, Doug (Thu Nov 28 2002 - 10:07:43 PST)
- Poll on ISSUE 1.1 Swapnajit Mittra (Thu Nov 28 2002 - 16:16:19 PST)
- Agenda And Attendance List -Second SystemVerilog Face to Face mee ting - December 4 - Mentor Graphics - San Jose Vassilios.Gerousis@Infineon.Com (Fri Nov 29 2002 - 08:35:36 PST)
- System Verilog Assertion API.pdf;System Verilog Assertion API.doc Joao Geada (Mon Dec 02 2002 - 05:30:59 PST)
- External model proposal #2 Warmke, Doug (Mon Dec 02 2002 - 09:25:55 PST)
- Meeting minutes from November 26 Francoise Martinolle (Mon Dec 02 2002 - 11:19:41 PST)
- RE: $sv-ec Agenda And Attendance List -Second SystemVerilog Face to Face meeting - December 4 - Mentor Graphics - San Jose Dennis Brophy (Mon Dec 02 2002 - 14:54:54 PST)
- Agenda for the face-to-face meeting on 12/3 Swapnajit Mittra (Mon Dec 02 2002 - 15:20:17 PST)
- Updated proposal for SV/C/C++ cross calling Kevin Cameron x3251 (Mon Dec 02 2002 - 15:44:09 PST)
- Afternoon meeting minutes for Dec 3rd meeting Amouroux, John (Tue Dec 03 2002 - 18:01:47 PST)
- Fw: SV-EC LRM Swapnajit Mittra (Tue Dec 03 2002 - 21:54:00 PST)
- Reasons for extern modules Kevin Cameron x3251 (Thu Dec 05 2002 - 10:31:30 PST)
- SV/C/C++ Interfacing Kevin Cameron x3251 (Thu Dec 05 2002 - 16:48:23 PST)
- Part 1 of face 2 face minutes Joao Geada (Thu Dec 05 2002 - 18:31:30 PST)
- DirectC: C layer Andrzej Litwiniuk (Fri Dec 06 2002 - 23:47:29 PST)
- December 4 - SystemVerilog Full Committee Slides Vassilios.Gerousis@Infineon.Com (Sun Dec 08 2002 - 15:06:42 PST)
- SV-CC Proposal revision 7 Stickley, John (Mon Dec 09 2002 - 10:44:10 PST)
- feedback for Kevin C proposal Stickley, John (Mon Dec 09 2002 - 10:51:00 PST)
- sv-bc Status Slides Vassilios.Gerousis@Infineon.Com (Mon Dec 09 2002 - 16:32:24 PST)
- Meeting reminder 12/10 Swapnajit Mittra (Mon Dec 09 2002 - 22:47:32 PST)
- Meeting Minutes for Dec 11, 2002 Amouroux, John (Tue Dec 10 2002 - 10:32:50 PST)
- Proposal Update Kevin Cameron x3251 (Tue Dec 10 2002 - 13:57:17 PST)
- DirectC: C layer - support for bit and part selects Andrzej Litwiniuk (Tue Dec 10 2002 - 14:16:48 PST)
- Fw: RE: Assertion doc for 3.1 Swapnajit Mittra (Wed Dec 11 2002 - 00:16:40 PST)
- Agenda for Today's conf. call - 12/11/2002 Ghassan Khoory (Wed Dec 11 2002 - 08:52:32 PST)
- const'ness vs reality Joao.Geada@synopsys.com (Wed Dec 11 2002 - 09:02:18 PST)
- SV-CC Minutes 12/11/02 Warmke, Doug (Wed Dec 11 2002 - 10:22:46 PST)
- RE: SystemVerilog Strategy, Plans and Proposal to address issues Vassilios.Gerousis@Infineon.Com (Thu Dec 12 2002 - 00:01:31 PST)
- next face to face date confirmation Francoise Martinolle (Thu Dec 12 2002 - 09:42:50 PST)
- Proposal Update Kevin Cameron x3251 (Thu Dec 12 2002 - 09:46:54 PST)
- DirectC C-Layer: open arrays and abstract access Andrzej Litwiniuk (Fri Dec 13 2002 - 17:25:02 PST)
- DirectC memory ownership - proposed rules Joao Geada (Sat Dec 14 2002 - 08:24:22 PST)
- Updated Assertions API proposal (v0.2) Joao Geada (Mon Dec 16 2002 - 14:45:07 PST)
- Meeting reminder 12/17 Swapnajit Mittra (Mon Dec 16 2002 - 19:06:59 PST)
- Meeting minutes for the SV-CC Committee, 17-Dec-2002 Michael Rohleder (Tue Dec 17 2002 - 13:29:16 PST)
- Dynamic loader / argument overloading example Kevin Cameron x3251 (Tue Dec 17 2002 - 16:10:42 PST)
- Meeting reminder 12/18 Swapnajit Mittra (Tue Dec 17 2002 - 18:34:33 PST)
- SV-CC Meeting Minutes 12/18/02 Warmke, Doug (Wed Dec 18 2002 - 10:32:03 PST)
- Assertion API comments Francoise Martinolle (Wed Dec 18 2002 - 15:47:23 PST)
- Meeting minutes for the SV-CC Committee, 17-Dec-2002 (RESEND) Michael Rohleder (Thu Dec 19 2002 - 16:43:20 PST)
- Vote on Kevin's proposal Warmke, Doug (Fri Dec 20 2002 - 12:43:12 PST)
- FW: Joao & Andrzej's Vote on Kevin's proposal Ghassan Khoory (Fri Dec 20 2002 - 13:58:04 PST)
- Result of poll on 1.1(b) Swapnajit Mittra (Mon Dec 23 2002 - 12:12:45 PST)
- FW: VPI in Perl.. Vassilios.Gerousis@Infineon.Com (Sat Dec 28 2002 - 07:18:02 PST)
- Re: [sv-ec] FW: VPI in Perl.. Raghuraman R (Tue Dec 31 2002 - 03:13:26 PST)
- Forwarded Message from [Raghuraman R <raghu@ti.com>] Vassilios.Gerousis@Infineon.Com (Tue Dec 31 2002 - 03:22:07 PST)
- [Opaque] Pointers Kevin Cameron x3251 (Mon Jan 06 2003 - 13:09:55 PST)
- Some thoughts going forward ... Swapnajit Mittra (Mon Jan 06 2003 - 18:58:19 PST)
- Meeting reminder 01/07/03 Swapnajit Mittra (Mon Jan 06 2003 - 19:15:57 PST)
- Minutes January 7, 2003 Kevin Cameron (Tue Jan 07 2003 - 10:16:01 PST)
- "scv" and "svc" prefixes Warmke, Doug (Tue Jan 07 2003 - 10:51:56 PST)
- Re: pointers & handles Kevin Cameron x3251 (Tue Jan 07 2003 - 10:55:15 PST)
- DirectC: pointers and triggering sensitivity for arrays Andrzej Litwiniuk (Tue Jan 07 2003 - 14:10:14 PST)
- Meeting reminder 01/08/03 Swapnajit Mittra (Tue Jan 07 2003 - 19:04:42 PST)
- Re: SV Committee Requests to SV-EC Swapnajit Mittra (Wed Jan 08 2003 - 08:29:26 PST)
- Meeting minutes for 1/8/03 Amouroux, John (Wed Jan 08 2003 - 10:32:17 PST)
- Re:Organizational issues Swapnajit Mittra (Wed Jan 08 2003 - 13:12:24 PST)
- RE: Organizational issues Warmke, Doug (Wed Jan 08 2003 - 13:39:28 PST)
- SV-CC next face to face meeting Swapnajit Mittra (Wed Jan 08 2003 - 17:39:56 PST)
- DirectC: C layer revised Andrzej Litwiniuk (Fri Jan 10 2003 - 07:50:45 PST)
- DirectC C layer - open arrays and portability - PLEASE READ Andrzej Litwiniuk (Fri Jan 10 2003 - 08:00:38 PST)
- Unicode David W. Smith (Fri Jan 10 2003 - 09:49:32 PST)
- Re: DirectC: C layer revised: longint --> long long Andrzej Litwiniuk (Fri Jan 10 2003 - 09:59:01 PST)
- RE: [sv-ec] Unicode Kevin Cameron x3251 (Fri Jan 10 2003 - 10:33:54 PST)
- ISSUE 2.1: Proposal for assertion VPI by Joao Swapnajit Mittra (Fri Jan 10 2003 - 19:16:03 PST)
- Polls on (1.7, 1.11) and 2.1 Swapnajit Mittra (Fri Jan 10 2003 - 19:36:25 PST)
- Assertions API v0.3 Joao Geada (Fri Jan 10 2003 - 20:45:46 PST)
- SV-CC Committee F2F Meeting - 1/23 Ghassan Khoory (Mon Jan 13 2003 - 09:15:02 PST)
- Meeting reminder 01/14/03 Swapnajit Mittra (Mon Jan 13 2003 - 19:23:40 PST)
- DirectC: C layer - naming convention Andrzej Litwiniuk (Tue Jan 14 2003 - 08:34:56 PST)
- Meeting Minutes 01/14/03 Warmke, Doug (Tue Jan 14 2003 - 10:10:55 PST)
- Assertion API v0.4 Joao Geada (Tue Jan 14 2003 - 16:37:59 PST)
- DirectC: C Layer - another revision Andrzej Litwiniuk (Tue Jan 14 2003 - 18:10:54 PST)
- Meeting Reminder 01/15/03 Swapnajit Mittra (Wed Jan 15 2003 - 08:26:17 PST)
- eda.org email reflector problem Swapnajit Mittra (Wed Jan 15 2003 - 08:49:49 PST)
- Vote on Assertions API (VPI Extensions) Joao Geada (Wed Jan 15 2003 - 10:02:59 PST)
- Vote on Assertions API (VPI Extensions) Andrzej Litwiniuk (Wed Jan 15 2003 - 10:09:51 PST)
- SV_CC meeting minutes for 15 Jan, 2003 Amouroux, John (Wed Jan 15 2003 - 10:20:01 PST)
- Re: Direct C Kevin Cameron x3251 (Wed Jan 15 2003 - 10:55:17 PST)
- VOTE on the assertion API Francoise Martinolle (Wed Jan 15 2003 - 19:30:04 PST)
- my vote on assertion API Stuart Swan (Wed Jan 15 2003 - 20:28:22 PST)
- Suggestions needed for coverage API Joao Geada (Thu Jan 16 2003 - 08:04:32 PST)
- SV 3.1 draft 2 LRM available Stuart Sutherland (Fri Jan 17 2003 - 00:20:01 PST)
- SV-CC F2F Meeting Details - 1/23 Ghassan Khoory (Fri Jan 17 2003 - 12:41:17 PST)
- Result of poll on 2.1(Assertion) and reminder on (1.7, 1.11) Swapnajit Mittra (Sat Jan 18 2003 - 08:54:27 PST)
- SV 3.1 draft 2 LRM available Swapnajit Mittra (Sat Jan 18 2003 - 08:36:32 PST)
- vote on the direct C api Francoise Martinolle (Mon Jan 20 2003 - 08:44:47 PST)
- Poll on issues 1.7, 1.11 Warmke, Doug (Mon Jan 20 2003 - 10:01:36 PST)
- vote on the directC api Francoise Martinolle (Mon Jan 20 2003 - 11:01:32 PST)
- Poll - Issues 1.7, 1.11 - C-sde interface Amouroux, John (Mon Jan 20 2003 - 13:06:15 PST)
- Vote on C API Michael Rohleder (Mon Jan 20 2003 - 13:14:38 PST)
- vote on the DirectC C API Andrzej Litwiniuk (Mon Jan 20 2003 - 13:30:51 PST)
- my vote on C API Stuart Swan (Mon Jan 20 2003 - 13:36:27 PST)
- vote on DirectC C API Joao Geada (Mon Jan 20 2003 - 14:29:26 PST)
- Re: [sv-bc] Re: The Action item for you from the SV-BC Kevin Cameron x3251 (Mon Jan 20 2003 - 15:58:36 PST)
- [sv-cc] Need another VPI number allocation from IEEE Joao Geada (Tue Jan 21 2003 - 08:58:44 PST)
- [sv-cc] Coverage API proposal (VPI extensions) Joao Geada (Wed Jan 22 2003 - 15:27:32 PST)
- [sv-cc] Final agenda for the f2f on 1/23 Swapnajit Mittra (Wed Jan 22 2003 - 15:53:14 PST)
- [sv-cc] F2F telecon and other logistics info Swapnajit Mittra (Thu Jan 23 2003 - 08:08:22 PST)
- [sv-cc] VCS FSM pragmas documentation Joao Geada (Thu Jan 23 2003 - 11:08:51 PST)
- [sv-cc] SV-CC 01/23/03 f2f meeting minutes Swapnajit Mittra (Sun Jan 26 2003 - 12:23:54 PST)
- [sv-cc] Full SV meeting planned for February 19. Vassilios.Gerousis@Infineon.Com (Mon Jan 27 2003 - 08:13:15 PST)
- [sv-cc] Updated proposal for C/C++ file inclusion Michael Rohleder (Mon Jan 27 2003 - 13:24:25 PST)
- [sv-cc] issue 1.7 Francoise Martinolle (Mon Jan 27 2003 - 14:09:17 PST)
- [sv-cc] sv-cc 2 sv-ec: extern/export requirements Joao Geada (Mon Jan 27 2003 - 15:06:31 PST)
- [sv-cc] Website updates Swapnajit Mittra (Mon Jan 27 2003 - 19:38:10 PST)
- [sv-cc] Meeting reminder - 01/28/03 Swapnajit Mittra (Mon Jan 27 2003 - 19:45:19 PST)
- [sv-cc] Coverage API v0.2 Joao Geada (Tue Jan 28 2003 - 07:58:33 PST)
- [sv-cc] SV-CC Meeting Minutes, Part 2, for January 28, 2003 Amouroux, John (Tue Jan 28 2003 - 10:04:02 PST)
- [sv-cc] integrating directC code Francoise Martinolle (Tue Jan 28 2003 - 13:25:45 PST)
- [sv-cc] Complete meeting minutes of 01/28/03 Swapnajit Mittra (Tue Jan 28 2003 - 19:09:58 PST)
- [sv-cc] RE: [sv-ec] Opinions on proposed Feb. 19 meeting? Vassilios.Gerousis@Infineon.Com (Wed Jan 29 2003 - 04:56:41 PST)
- [sv-cc] DirectC layer: C array indices mapping question Francoise Martinolle (Thu Jan 30 2003 - 14:35:48 PST)
- [sv-cc] Another directC C mapping question Francoise Martinolle (Thu Jan 30 2003 - 15:11:57 PST)
- [sv-cc] Rescheduled Full SV meeting to February 28 Vassilios.Gerousis@Infineon.Com (Sun Feb 02 2003 - 08:00:38 PST)
- [sv-cc] Meeting reminder 02/04/03 Swapnajit Mittra (Mon Feb 03 2003 - 19:15:51 PST)
- [sv-cc] DirectC C Layer - revised (ascii) Andrzej Litwiniuk (Tue Feb 04 2003 - 08:28:02 PST)
- [sv-cc] sv-cc Meeting minutes 02/04/2003 Joao Geada (Tue Feb 04 2003 - 10:01:01 PST)
- [sv-cc] Coverage VPI extensions (v0.3) Joao Geada (Tue Feb 04 2003 - 14:20:26 PST)
- [sv-cc] Meeting reminder 02/05/03 Swapnajit Mittra (Tue Feb 04 2003 - 18:27:19 PST)
- [sv-cc] RE: String class/pointer Joao Geada (Wed Feb 05 2003 - 07:21:19 PST)
- [sv-cc] Update on inclusion doc Michael Rohleder (Wed Feb 05 2003 - 08:48:40 PST)
- [sv-cc] DirectC C Layer - revised (pdf) Andrzej Litwiniuk (Wed Feb 05 2003 - 09:13:36 PST)
- [sv-cc] Meeting minutes for Feb 5, 2003 Amouroux, John (Wed Feb 05 2003 - 09:54:49 PST)
- [sv-cc] Polls on Coverage VPI and String datatype Swapnajit Mittra (Wed Feb 05 2003 - 13:11:21 PST)
- [sv-cc] Polls on Coverage VPI and String datatype Joao Geada (Thu Feb 06 2003 - 13:34:48 PST)
- [sv-cc] Meeting minutes for Feb 5, 2003 - amended Amouroux, John (Thu Feb 06 2003 - 18:03:13 PST)
- [sv-cc] Poll for coverage api and strings Amouroux, John (Fri Feb 07 2003 - 13:15:17 PST)
- [sv-cc] Agenda for Full SV meeting on February 28 Vassilios.Gerousis@Infineon.Com (Mon Feb 10 2003 - 06:08:35 PST)
- [sv-cc] Meeting reminder for 01/11/03 Swapnajit Mittra (Mon Feb 10 2003 - 18:40:04 PST)
- [sv-cc] FW: Joao's assertion API doc. Ghassan Khoory (Tue Feb 11 2003 - 08:58:54 PST)
- [sv-cc] Meeting minutes 2/11/03 Francoise Martinolle (Tue Feb 11 2003 - 10:02:56 PST)
- [sv-cc] [sv-ec] [Opaque] Pointers Kevin Cameron x3251 (Tue Feb 11 2003 - 10:16:56 PST)
- [sv-cc] another directC question Francoise Martinolle (Tue Feb 11 2003 - 14:49:35 PST)
- [sv-cc] No meeting tomorrow (02/12) Swapnajit Mittra (Tue Feb 11 2003 - 16:32:58 PST)
- [sv-cc] Reg. escaped identifiers.. Raghuraman R (Thu Feb 13 2003 - 01:56:04 PST)
- [sv-cc] FW: [sv-bc] SystemVerilog 3.1 draft 3 available Vassilios.Gerousis@Infineon.Com (Mon Feb 17 2003 - 09:33:27 PST)
- [sv-cc] Patent 6,141,630 From Verisity Vassilios.Gerousis@Infineon.Com (Mon Feb 17 2003 - 13:04:47 PST)
- [sv-cc] Meeting reminder 02/18/03 Swapnajit Mittra (Mon Feb 17 2003 - 19:21:50 PST)
- [sv-cc] Difference between 'inclusion' proposal and current simulator implementations Michael Rohleder (Tue Feb 18 2003 - 07:19:08 PST)
- [sv-cc] Patent 6,141,630 From Verisity On Coverage Database - To be Discu ssed at the Full SV Meeting Vassilios.Gerousis@Infineon.Com (Tue Feb 18 2003 - 08:16:40 PST)
- [sv-cc] DirectC: defaults for args, passing by name Andrzej Litwiniuk (Tue Feb 18 2003 - 08:48:01 PST)
- [sv-cc] DirectC C side pdf Swapnajit Mittra (Tue Feb 18 2003 - 10:21:21 PST)
- [sv-cc] Minutes of the SV-CC Conference Call 18-Feb-2003 Michael Rohleder (Tue Feb 18 2003 - 10:37:40 PST)
- [sv-cc] svInclusion document (final) - Source Michael Rohleder (Tue Feb 18 2003 - 11:21:21 PST)
- [sv-cc] Re: Joe's email address Swapnajit Mittra (Tue Feb 18 2003 - 12:10:29 PST)
- [sv-cc] Poll on Issue 1.9 (Michael's Inclusion proposal) Swapnajit Mittra (Tue Feb 18 2003 - 17:50:42 PST)
- [sv-cc] Looking for proposals on DirectC/other names Swapnajit Mittra (Tue Feb 18 2003 - 18:00:22 PST)
- [sv-cc] BNF work and status David W. Smith (Thu Feb 20 2003 - 16:00:02 PST)
- RE: [sv-cc] DirectC C side pdf - comments & explanations Warmke, Doug (Thu Feb 20 2003 - 22:46:25 PST)
- [sv-cc] compiling and linking source code Francoise Martinolle (Fri Feb 21 2003 - 14:32:37 PST)
- [sv-cc] FW: [sv-ec] -- Location - Agenda for Full SV meeting on February 28 Vassilios.Gerousis@Infineon.Com (Sat Feb 22 2003 - 09:32:44 PST)
- [sv-cc] RE: [sv-ac] FW: [sv-ec] -- Location - Agenda for Full SV meeting on February 28 Vassilios.Gerousis@Infineon.Com (Sun Feb 23 2003 - 10:22:46 PST)
- [sv-cc] default values limitations for function/task arguments Francoise Martinolle (Mon Feb 24 2003 - 08:43:42 PST)
- Re: [sv-cc] DirectC: passing by name, restrictions Andrzej Litwiniuk (Mon Feb 24 2003 - 14:44:11 PST)
- [sv-cc] SystemVerilog 3.1 Scheduling Semantics David W. Smith (Mon Feb 24 2003 - 16:55:54 PST)
- [sv-cc] SV-CC Meeting Reminder/Agenda for 2/25 Ghassan Khoory (Tue Feb 25 2003 - 05:49:44 PST)
- [sv-cc] Minutes 02-25-2003 Joao Geada (Tue Feb 25 2003 - 10:14:01 PST)
- [sv-cc] RE: [sv-ec] function external declarations and prototypes David W. Smith (Tue Feb 25 2003 - 10:41:47 PST)
- [sv-cc] More Attendees for Full SV meeting on February 28 Vassilios.Gerousis@Infineon.Com (Tue Feb 25 2003 - 13:27:47 PST)
- [sv-cc] RE: [sv-bc] SystemVerilog 3.1 Scheduling Semantics Vassilios.Gerousis@Infineon.Com (Tue Feb 25 2003 - 13:30:57 PST)
- [sv-cc] Question & Comment Michael Rohleder (Tue Feb 25 2003 - 15:26:08 PST)
- [sv-cc] Updated SV-Layer and Language Inclusion pdfs Chippewea@aol.com (Tue Feb 25 2003 - 17:30:59 PST)
- [sv-cc] My vote on issue 1.9 Joao Geada (Tue Feb 25 2003 - 20:43:17 PST)
- [sv-cc] Vote on Michael's Inclusion proposals Warmke, Doug (Tue Feb 25 2003 - 23:36:38 PST)
- [sv-cc] RE: [sv-bc] function external declarations and prototypes Francoise Martinolle (Wed Feb 26 2003 - 09:14:28 PST)
- [sv-cc] Re: [sv-ec] tasks and function default values Francoise Martinolle (Wed Feb 26 2003 - 09:45:40 PST)
- [sv-cc] Copy of the DVCon paper on scheduling semantics David W. Smith (Wed Feb 26 2003 - 10:19:42 PST)
- [sv-cc] SV Layer Feedback Stickley, John (Wed Feb 26 2003 - 15:30:34 PST)
- [sv-cc] RE: [sv-ac] Re: [sv-ec] Re: [sv-bc] SystemVerilog 3.1 Scheduling Semantics Warmke, Doug (Wed Feb 26 2003 - 22:13:55 PST)
- [sv-cc] Informal poll on constant naming convention Warmke, Doug (Wed Feb 26 2003 - 22:37:43 PST)
- RE: [sv-cc] DirectC: passing by name, restrictions Warmke, Doug (Wed Feb 26 2003 - 23:18:31 PST)
- [sv-cc] Mail from Arturo Salz Swapnajit Mittra (Thu Feb 27 2003 - 10:22:47 PST)
- [sv-cc] C-Layer and Include files annexes Chippewea@aol.com (Thu Feb 27 2003 - 11:28:17 PST)
- [sv-cc] Coverage and Assertion APIs chapters Chippewea@aol.com (Thu Feb 27 2003 - 11:35:27 PST)
- [sv-cc] Results of the poll on ISSUE 1.9 Swapnajit Mittra (Thu Feb 27 2003 - 12:59:40 PST)
- [sv-cc] How do I call a specific instance of an exported function ? Stickley, John (Thu Feb 27 2003 - 16:16:07 PST)
- Re: [sv-cc] DirectC: supporting references in DirectC Andrzej Litwiniuk (Fri Feb 28 2003 - 07:54:10 PST)
- [sv-cc] Comments on Michael's documents Francoise Martinolle (Fri Feb 28 2003 - 13:59:07 PST)
- [sv-cc] Date: Fri, 28 Feb 2003 15:31:10 -0800 Bassam Tabbara (Fri Feb 28 2003 - 15:31:41 PST)
- [sv-cc] All Slides from the 28 February 2003 SV face-to-face. Vassilios.Gerousis@Infineon.Com (Sat Mar 01 2003 - 13:25:48 PST)
- [sv-cc] Minutes from the 28 February 2003 SV face-to-face. Swapnajit Mittra (Sun Mar 02 2003 - 23:09:48 PST)
- [sv-cc] Draft proposal for DPI import/export syntax Joao Geada (Mon Mar 03 2003 - 11:30:36 PST)
- [sv-cc] Meeting reminder 03/04/03 Swapnajit Mittra (Mon Mar 03 2003 - 23:57:40 PST)
- [sv-cc] Re: assertion/coverage API questions Joao Geada (Tue Mar 04 2003 - 08:31:06 PST)
- [sv-cc] meeting minutes 3/4 Francoise Martinolle (Tue Mar 04 2003 - 10:01:16 PST)
- [sv-cc] RE: [sv-ac] Re: assertion/coverage API questions Bassam Tabbara (Tue Mar 04 2003 - 10:22:12 PST)
- [sv-cc] representation of SystemVerilog data types Andrzej Litwiniuk (Tue Mar 04 2003 - 16:57:46 PST)
- [sv-cc] Meeting reminder - 03/05/03 Swapnajit Mittra (Tue Mar 04 2003 - 23:45:41 PST)
- [sv-cc] extern/export function declarations Francoise Martinolle (Wed Mar 05 2003 - 06:43:30 PST)
- [sv-cc] alternative syntax for extern/export Andrzej Litwiniuk (Wed Mar 05 2003 - 09:31:03 PST)
- [sv-cc] DATE tutorial presentation Michael Rohleder (Wed Mar 05 2003 - 10:21:54 PST)
- [sv-cc] Updated extern/export proposal Joao Geada (Wed Mar 05 2003 - 14:36:05 PST)
- [sv-cc] more about import/export Francoise Martinolle (Wed Mar 05 2003 - 15:18:48 PST)
- [sv-cc] revised .fm: c_layer_v1.fm, sv_layer_v1.fm, include_files_v1.fm Andrzej Litwiniuk (Wed Mar 05 2003 - 16:13:31 PST)
- [sv-cc] extern uses for declaring tasks and functions Francoise Martinolle (Thu Mar 06 2003 - 12:57:35 PST)
- [sv-cc] Draft LRM Editing Process Ghassan Khoory (Thu Mar 06 2003 - 13:07:21 PST)
- [sv-cc] Polls on extern/export and representation of SV data types Swapnajit Mittra (Thu Mar 06 2003 - 16:24:20 PST)
- RE: [sv-cc] Polls on extern/export and representation of SV data types Warmke, Doug (Thu Mar 06 2003 - 22:21:53 PST)
- [sv-cc] Header file names? Warmke, Doug (Fri Mar 07 2003 - 21:30:41 PST)
- [sv-cc] Minutes of the SV-CC Conference Call 5-Mar-2003 Michael Rohleder (Mon Mar 10 2003 - 02:08:15 PST)
- [sv-cc] Inclusion file appendix Michael Rohleder (Mon Mar 10 2003 - 10:34:22 PST)
- [sv-cc] LRM edits for "the gnarly thread" Warmke, Doug (Mon Mar 10 2003 - 10:42:36 PST)
- [sv-cc] Re: Version 2 of DPI LRM Stickley, John (Mon Mar 10 2003 - 13:55:52 PST)
- RE: [sv-cc] elaboration time calls of DPI functions Warmke, Doug (Mon Mar 10 2003 - 17:09:57 PST)
- [sv-cc] Meeting reminder 03/11/03 Swapnajit Mittra (Mon Mar 10 2003 - 18:24:46 PST)
- [sv-cc] draft LRM Francoise Martinolle (Tue Mar 11 2003 - 07:22:22 PST)
- [sv-cc] [Fwd: Re: Version 2 of DPI LRM] Stickley, John (Tue Mar 11 2003 - 08:30:34 PST)
- [sv-cc] Conf call access Amouroux, John (Tue Mar 11 2003 - 09:03:07 PST)
- [sv-cc] conf call? Warmke, Doug (Tue Mar 11 2003 - 09:08:28 PST)
- [sv-cc] the phone number for the conference call does not work Francoise Martinolle (Tue Mar 11 2003 - 09:09:25 PST)
- [sv-cc] The Call Today is Working Now. Ghassan Khoory (Tue Mar 11 2003 - 09:15:35 PST)
- [sv-cc] SV-CC minutes 03/11/03 Warmke, Doug (Tue Mar 11 2003 - 10:06:33 PST)
- [sv-cc] instance specific exports Stickley, John (Tue Mar 11 2003 - 10:16:09 PST)
- [sv-cc] RE: Version 2 of DPI LRM Warmke, Doug (Tue Mar 11 2003 - 13:05:44 PST)
- [sv-cc] RE: Version 2 of DPI LRM Warmke, Doug (Tue Mar 11 2003 - 13:59:23 PST)
- [sv-cc] Problem of mails getting bounced from the reflector has been solved... Swapnajit Mittra (Tue Mar 11 2003 - 18:15:28 PST)
- [sv-cc] extern/export - some thoughts Swapnajit Mittra (Tue Mar 11 2003 - 18:26:12 PST)
- [sv-cc] Meeting reminder - 03/12/03 Swapnajit Mittra (Tue Mar 11 2003 - 18:48:23 PST)
- [sv-cc] Updated extern/exports proposal Joao Geada (Tue Mar 11 2003 - 19:35:25 PST)
- [sv-cc] [Fwd: [sv-ec] class scope resolution operator] Michael Rohleder (Wed Mar 12 2003 - 05:07:34 PST)
- [sv-cc] representation of SV data - imposed restrictions Andrzej Litwiniuk (Wed Mar 12 2003 - 09:02:34 PST)
- [sv-cc] RE: Version 2 of DPI LRM Warmke, Doug (Wed Mar 12 2003 - 10:34:56 PST)
- [sv-cc] Updated externexport proposal (version N+2) Joao Geada (Wed Mar 12 2003 - 15:17:41 PST)
- [sv-cc] Meeting minutes for Mar. 12, 2003 Amouroux, John (Wed Mar 12 2003 - 15:25:32 PST)
- [sv-cc] svPut/GetUserData() Stickley, John (Wed Mar 12 2003 - 15:28:20 PST)
- BNF Draft1 (BNF Draft3 changes) Stefen Boyd (Wed Mar 12 2003 - 17:42:22 PST)
- [sv-cc] Mail from Stefen Boyd <stefen@boyd.com> on BNF Swapnajit Mittra (Wed Mar 12 2003 - 20:38:28 PST)
- [sv-cc] DPI context - setting/preserving&resetting a scope Andrzej Litwiniuk (Thu Mar 13 2003 - 06:43:14 PST)
- [sv-cc] BNF Change tracking David W. Smith (Thu Mar 13 2003 - 12:03:28 PST)
- [sv-cc] Results of the polls on extern/export and representation of SV data ty pes Swapnajit Mittra (Thu Mar 13 2003 - 12:53:33 PST)
- RE: [sv-cc] Version 2 of DPI LRM] - compatibility, C Layer Warmke, Doug (Thu Mar 13 2003 - 14:12:46 PST)
- [sv-cc] Updated externexport proposal (version N+2) Joao Geada (Thu Mar 13 2003 - 14:36:12 PST)
- [sv-cc] FW: [sv-bc] External Functions and Tasks proposal Warmke, Doug (Fri Mar 14 2003 - 07:54:45 PST)
- [sv-cc] nitpick with svGetPartSelectBit() Stickley, John (Fri Mar 14 2003 - 16:10:06 PST)
- [sv-cc] reminder: updated svc.h, svc_src.h needed Stickley, John (Sat Mar 15 2003 - 07:52:44 PST)
- [sv-cc] BC extern function declarations Francoise Martinolle (Mon Mar 17 2003 - 11:16:37 PST)
- [sv-cc] Updated LRM Joao Geada (Mon Mar 17 2003 - 11:17:59 PST)
- [sv-cc] Meeting reminder - 03/18/03 Swapnajit Mittra (Mon Mar 17 2003 - 23:33:21 PST)
- [sv-cc] FYI: extern Francoise Martinolle (Tue Mar 18 2003 - 07:26:51 PST)
- [sv-cc] RE: extern Warmke, Doug (Tue Mar 18 2003 - 07:44:52 PST)
- RE: [sv-cc] reminder: updated svc.h, svc_src.h needed - Separate concerns! Warmke, Doug (Tue Mar 18 2003 - 08:38:35 PST)
- [sv-cc] David's update to our extern/export proposal Joao Geada (Tue Mar 18 2003 - 09:10:40 PST)
- [sv-cc] Correction: here is David's proposed import/export LRM section Joao Geada (Tue Mar 18 2003 - 09:13:05 PST)
- [sv-cc] cc meeting minutes 3/18 Francoise Martinolle (Tue Mar 18 2003 - 09:48:15 PST)
- [sv-cc] Modified Get/Put User Data Proposal Stickley, John (Tue Mar 18 2003 - 19:51:15 PST)
- RE: [sv-cc] Re: Modified Get/Put ... - svGetScopeFromName()/svPut UserData() o verhead+restrictions Warmke, Doug (Wed Mar 19 2003 - 13:14:25 PST)
- [sv-cc] Import/export David W. Smith (Thu Mar 20 2003 - 14:40:53 PST)
- [sv-cc] Updated LRM (v0.6) Joao Geada (Thu Mar 20 2003 - 18:02:59 PST)
- [sv-cc] BNF and Draft 4 LRM changes David W. Smith (Fri Mar 21 2003 - 11:40:34 PST)
- [sv-cc] RE: ASWG Final Status Vassilios.Gerousis@Infineon.Com (Sat Mar 22 2003 - 00:31:58 PST)
- [sv-cc] SystemVerilog 3.1 Draft 4 LRM and review process Vassilios.Gerousis@Infineon.Com (Sat Mar 22 2003 - 02:11:31 PST)
- RE: [sv-cc] Modified Get/Put User Data Proposal - function vs. mo dule scope Warmke, Doug (Mon Mar 24 2003 - 09:46:34 PST)
- [sv-cc] Date: Mon, 24 Mar 2003 13:01:02 -0500 Francoise Martinolle (Mon Mar 24 2003 - 10:01:54 PST)
- [sv-cc] Remaining technical Issues for discussion on 3/25 Warmke, Doug (Mon Mar 24 2003 - 21:24:23 PST)
- [sv-cc] Meeting reminder 03/25/03 Swapnajit Mittra (Tue Mar 25 2003 - 00:32:42 PST)
- [sv-cc] Minutes from SV-CC conference call 25-Mar-2003 Michael Rohleder (Tue Mar 25 2003 - 09:59:41 PST)
- [sv-cc] LRM modifications for svGet/PutUserData proposal Stickley, John (Tue Mar 25 2003 - 14:07:11 PST)
- [sv-cc] sv-cc-lrm-v0.7.pdf Joao Geada (Tue Mar 25 2003 - 16:43:58 PST)
- [sv-cc] SV-CC meeting before Thursday deadline? Warmke, Doug (Tue Mar 25 2003 - 17:02:41 PST)
- [sv-cc] open issue: exported function = virtual function? Andrzej Litwiniuk (Wed Mar 26 2003 - 11:05:32 PST)
- [sv-cc] Re-proposed user data API Warmke, Doug (Wed Mar 26 2003 - 11:55:54 PST)
- [sv-cc] SV-CC Meeting on Thursday 3/27 - Call Info Ghassan Khoory (Wed Mar 26 2003 - 13:17:41 PST)
- [sv-cc] Feedback on revision 0.7 Section 1 - Direct Programming Interface (DPI) Stickley, John (Wed Mar 26 2003 - 13:49:14 PST)
- [sv-cc] RE: sv-cc-lrm-v0.7.pdf Warmke, Doug (Wed Mar 26 2003 - 16:21:03 PST)
- [sv-cc] Meeting reminder - 03/26/03 Swapnajit Mittra (Wed Mar 26 2003 - 23:34:14 PST)
- [sv-cc] Final proposal for user data management Warmke, Doug (Thu Mar 27 2003 - 09:53:48 PST)
- [sv-cc] Meeting minutes for SV-CC 03/27 Warmke, Doug (Thu Mar 27 2003 - 11:02:52 PST)
- [sv-cc] Minutes for SV-CC meeting 03/27/03 Swapnajit Mittra (Thu Mar 27 2003 - 11:04:41 PST)
- [sv-cc] RE: sv-cc-lrm-v0.7.pdf Warmke, Doug (Thu Mar 27 2003 - 11:15:56 PST)
- [sv-cc] userdata edits for inclusion in LRM v0.8 Warmke, Doug (Thu Mar 27 2003 - 12:01:30 PST)
- Latest bnf available Stefen Boyd (Thu Mar 27 2003 - 12:51:07 PST)
- [sv-cc] SV-CC LRM Version 0.8 Joao Geada (Thu Mar 27 2003 - 15:42:14 PST)
- [sv-cc] Draft 4 BNF Sneak Preview Vassilios.Gerousis@Infineon.Com (Thu Mar 27 2003 - 22:13:41 PST)
- [sv-cc] Feedback on revision 0.8 Stickley, John (Fri Mar 28 2003 - 08:56:02 PST)
- [sv-cc] FW: [sv-bc] Annex A Draft BNF1 Vassilios.Gerousis@Infineon.Com (Sun Mar 30 2003 - 00:30:21 PST)
- [sv-cc] FW: [sv-bc] Annex A Draft BNF2 (no BNF1) Vassilios.Gerousis@Infineon.Com (Sun Mar 30 2003 - 21:23:45 PST)
- [sv-cc] FW: [sv-bc] Annex A Draft BNF2 (no BNF1) Vassilios.Gerousis@Infineon.Com (Sun Mar 30 2003 - 21:26:27 PST)
- [sv-cc] Re: missing svPutPartSelectBit() Andrzej Litwiniuk (Mon Mar 31 2003 - 08:43:05 PST)
- [sv-cc] Re: missing svPutPartSelectBit() - and other oversights Andrzej Litwiniuk (Mon Mar 31 2003 - 11:39:08 PST)
- [sv-cc] DPI - supported data types Andrzej Litwiniuk (Mon Mar 31 2003 - 12:57:08 PST)
- [sv-cc] Meeting reminder - 04/01/03 Swapnajit Mittra (Mon Mar 31 2003 - 23:57:27 PST)
- [sv-cc] SV-CC LRM Version 0.9 pdf - SV layer, C layer, include files Andrzej Litwiniuk (Tue Apr 01 2003 - 09:41:30 PST)
- [sv-cc] Perspectives from a "user" of the SV DPI C-layer Stickley, John (Tue Apr 01 2003 - 10:05:21 PST)
- Re: [sv-cc] Meeting Today Moved to 04/02/03 Swapnajit Mittra (Tue Apr 01 2003 - 21:32:56 PST)
- [sv-cc] SV-CC LRM Version 0.9_1 pdf - SV layer, C layer, include files Andrzej Litwiniuk (Wed Apr 02 2003 - 08:52:57 PST)
- [sv-cc] Meeting minutes 4/2/03 Francoise Martinolle (Thu Apr 03 2003 - 09:41:18 PST)
- [sv-cc] Issues with Draft 4 LRM David W. Smith (Thu Apr 03 2003 - 16:06:44 PST)
- [sv-cc] Draft 4 LRM Issues David W. Smith (Thu Apr 03 2003 - 17:17:13 PST)
- [sv-cc] FW: SystemVerilog 3.1 LRM, draft 4 Vassilios.Gerousis@Infineon.Com (Fri Apr 04 2003 - 04:42:51 PST)
- [sv-cc] out until April 14th Andrzej Litwiniuk (Fri Apr 04 2003 - 11:04:23 PST)
- [sv-cc] LRM Review Issues (IMPORTANT) David W. Smith (Fri Apr 04 2003 - 17:47:29 PST)
- [sv-cc] Meeting reminder - 04/08/03 Swapnajit Mittra (Mon Apr 07 2003 - 22:57:15 PDT)
- [sv-cc] Minutes for 04/08/03 meeting Warmke, Doug (Tue Apr 08 2003 - 10:15:45 PDT)
- [sv-cc] Resolution of sv-cc LRM issues Joao Geada (Wed Apr 09 2003 - 15:20:54 PDT)
- [sv-cc] Update to LRM Issues list. David W. Smith (Wed Apr 09 2003 - 17:46:56 PDT)
- [sv-cc] RE: [sv-ec] Issues with Draft 4 LRM Francoise Martinolle (Thu Apr 10 2003 - 06:44:40 PDT)
- [sv-cc] Fwd: RE: Assertion review comments up until 17.8 (included) Francoise Martinolle (Thu Apr 10 2003 - 10:13:33 PDT)
- [sv-cc] assertion review comments (second part) Francoise Martinolle (Thu Apr 10 2003 - 11:11:54 PDT)
- [sv-cc] RE: pragmas action item Joao Geada (Thu Apr 10 2003 - 11:24:40 PDT)
- [sv-cc] resolution of remaining sv-cc LRM issues Joao Geada (Thu Apr 10 2003 - 14:24:49 PDT)
- [sv-cc] LRM Draft 4 Status David W. Smith (Thu Apr 10 2003 - 23:02:42 PDT)
- [sv-cc] VPIs for changing the log file.. Raghuraman R (Fri Apr 11 2003 - 01:23:35 PDT)
- [sv-cc] Final call for SV-CC LRM editing comments Swapnajit Mittra (Fri Apr 11 2003 - 12:22:12 PDT)
- [sv-cc] Statements to the Press Michael McNamara (Fri Apr 11 2003 - 23:10:46 PDT)
- [sv-cc] LRM Draft 4 changes complete David W. Smith (Sat Apr 12 2003 - 00:44:28 PDT)
- [sv-cc] Draft 4 Changes David W. Smith (Mon Apr 14 2003 - 13:21:05 PDT)
- [sv-cc] LRM Request tracking David W. Smith (Mon Apr 14 2003 - 14:21:01 PDT)
- [sv-cc] Thanks for Great Review of Draft4 and Now the final Review. Vassilios.Gerousis@Infineon.Com (Mon Apr 14 2003 - 22:21:09 PDT)
- [sv-cc] No meeting today... Swapnajit Mittra (Tue Apr 15 2003 - 00:27:29 PDT)
- [sv-cc] open or dynamic arrays Francoise Martinolle (Tue Apr 15 2003 - 10:29:12 PDT)
- [sv-cc] SV-CC LRM - array of strings Andrzej Litwiniuk (Tue Apr 15 2003 - 11:01:00 PDT)
- [sv-cc] Draft 5 LRM David W. Smith (Wed Apr 16 2003 - 08:54:42 PDT)
- [sv-cc] assertion API Francoise Martinolle (Wed Apr 16 2003 - 14:01:20 PDT)
- [sv-cc] Resolution of open vs dynamic arrays Joao Geada (Wed Apr 16 2003 - 14:05:43 PDT)
- [sv-cc] Correction to the wording of open vs dynamic arrays Joao Geada (Wed Apr 16 2003 - 16:10:06 PDT)
- [sv-cc] assertion API issues Francoise Martinolle (Thu Apr 17 2003 - 07:21:28 PDT)
- [sv-cc] Assertion API issues Francoise Martinolle (Thu Apr 17 2003 - 07:24:03 PDT)
- [sv-cc] more assertion issues Francoise Martinolle (Thu Apr 17 2003 - 07:38:23 PDT)
- [sv-cc] Date: Thu, 17 Apr 2003 11:27:56 -0400 Francoise Martinolle (Thu Apr 17 2003 - 08:28:26 PDT)
- [sv-cc] Date: Thu, 17 Apr 2003 11:59:12 -0400 Francoise Martinolle (Thu Apr 17 2003 - 08:59:15 PDT)
- [sv-cc] collection of last comment reviews, issues and questions Francoise Martinolle (Thu Apr 17 2003 - 09:00:45 PDT)
- [sv-cc] Changes to draft 5 David W. Smith (Thu Apr 17 2003 - 14:40:48 PDT)
- [sv-cc] Process and schedule for final LRM review and vote David W. Smith (Fri Apr 18 2003 - 09:56:18 PDT)
- [sv-cc] LRM Draft 5 David W. Smith (Fri Apr 18 2003 - 12:46:38 PDT)
- [sv-cc] Corrected Draft 5 is now available Swapnajit Mittra (Fri Apr 18 2003 - 15:46:18 PDT)
- [sv-cc] LRM Draft 5 Initial List of Issues David W. Smith (Fri Apr 18 2003 - 18:35:12 PDT)
- [sv-cc] Assertion API (section 27) corrections Joao Geada (Mon Apr 21 2003 - 17:39:21 PDT)
- [sv-cc] Meeting reminder: 04/22/03 Swapnajit Mittra (Tue Apr 22 2003 - 00:11:38 PDT)
- [sv-cc] Minutes for the SV-CC Conference Call @ 22-Apr-2003 Michael Rohleder (Mon Apr 28 2003 - 09:51:29 PDT)
- [sv-cc] Proposed resolution for LRM 298: Glossary (sv-cc portions) Joao Geada (Tue Apr 22 2003 - 12:50:31 PDT)
- [sv-cc] Final LRM corrections from sv-cc Joao Geada (Tue Apr 22 2003 - 15:06:26 PDT)
- [sv-cc] Draft 5 Review changes David W. Smith (Tue Apr 22 2003 - 19:34:56 PDT)
- [sv-cc] a cross reference issue in draft 5 Francoise Martinolle (Wed Apr 23 2003 - 08:22:47 PDT)
- [sv-cc] New correction, section F.2 (no LRM number yet) Joao Geada (Wed Apr 23 2003 - 11:31:51 PDT)
- [sv-cc] Re: FW: Invitation to the SV-CC LRM Voting process Swapnajit Mittra (Wed Apr 23 2003 - 12:33:38 PDT)
- [sv-cc] Items for post 3.1 David W. Smith (Wed Apr 23 2003 - 15:31:11 PDT)
- [sv-cc] still problems with include files Stickley, John (Wed Apr 23 2003 - 17:14:08 PDT)
- [sv-cc] Draft 6 David W. Smith (Thu Apr 24 2003 - 10:58:46 PDT)
- [sv-cc] Vote on the 3.1 systemVerilog LRM Francoise Martinolle (Thu Apr 24 2003 - 11:20:11 PDT)
- [sv-cc] SystemVerilog 3.1 draft 6 available for review Swapnajit Mittra (Thu Apr 24 2003 - 12:25:26 PDT)
- [sv-cc] Fwd: [sv-bc] Cadence Negative Ballot Comment on SystemVerilog 3.1 Francoise Martinolle (Thu Apr 24 2003 - 12:40:05 PDT)
- [sv-cc] SystemVerilog 3.1 LRM Passed all Committees Vassilios.Gerousis@Infineon.Com (Fri Apr 25 2003 - 10:13:40 PDT)
- [sv-cc] Summary of voting for all committees David W. Smith (Fri Apr 25 2003 - 10:39:37 PDT)
- [sv-cc] Fw: SV-CC LRM voting status Swapnajit Mittra (Fri Apr 25 2003 - 10:37:45 PDT)
- [sv-cc] Fw: SV-CC LRM voting status Swapnajit Mittra (Fri Apr 25 2003 - 10:37:45 PDT)
- [sv-cc] No meeting tomorrow (04/29) Swapnajit Mittra (Mon Apr 28 2003 - 18:47:56 PDT)
- [sv-cc] SystemVerilog Workshop At DAC on June 2 -- Room 304, Anaheim Conv ention Center Vassilios.Gerousis@Infineon.Com (Sun May 04 2003 - 01:27:11 PDT)
- [sv-cc] Cross-committee issues for 3.2 from SV-CC Swapnajit Mittra (Tue May 06 2003 - 00:50:51 PDT)
- [sv-cc] No SV-CC Meeting on 05/06 and future meeting schedule Swapnajit Mittra (Tue May 06 2003 - 01:15:33 PDT)
- [sv-cc] Minor changes for final LRM David W. Smith (Tue May 13 2003 - 16:32:50 PDT)
- [sv-cc] RE: [sv-bc] Minor changes for final LRM David W. Smith (Tue May 13 2003 - 17:23:33 PDT)
- [sv-cc] RE: [sv-ec] RE: [sv-bc] Minor changes for final LRM David W. Smith (Thu May 15 2003 - 08:04:59 PDT)
- [sv-cc] SV Chairs and Champions Response to Negative Ballot Comments of C adence Vassilios.Gerousis@Infineon.Com (Fri May 16 2003 - 03:07:30 PDT)
- [sv-cc] More errata in DPI parts of LRM Warmke, Doug (Sat May 17 2003 - 15:52:15 PDT)
- [sv-cc] No meeting tomorrow (05/20) Swapnajit Mittra (Mon May 19 2003 - 22:56:05 PDT)
- [sv-cc] More SV draft6 LRM problems Warmke, Doug (Mon May 19 2003 - 23:12:43 PDT)
- [sv-cc] RE: SV 3.1 draft 6: additional urgent sv-cc related corrections David W. Smith (Tue May 20 2003 - 16:15:03 PDT)
- Re: [sv-cc] RE: SV 3.1 draft 6: additional urgent sv-cc related correc tions Swapnajit Mittra (Tue May 20 2003 - 21:24:28 PDT)
- [sv-cc] SV 3.1 LRM Table 11-1: chandle and garbage collection Andrzej Litwiniuk (Wed May 21 2003 - 13:52:05 PDT)
- [sv-cc] Posted LRM approved by Technical Chairs and Committees David W. Smith (Wed May 28 2003 - 14:32:50 PDT)
- [sv-cc] Re: Posted LRM approved by Technical Chairs and Committees David W. Smith (Wed May 28 2003 - 14:33:23 PDT)
- [sv-cc] SystemVerilog 3.1 Is An Accellera Standard Vassilios.Gerousis@Infineon.Com (Thu May 29 2003 - 13:09:48 PDT)
- [sv-cc] RE: SystemVerilog 3.1 Is An Accellera Standard Vassilios.Gerousis@Infineon.Com (Thu May 29 2003 - 13:13:32 PDT)
- [sv-cc] No meeting tomorrow (06/03) Swapnajit Mittra (Mon Jun 02 2003 - 22:20:22 PDT)
- [sv-cc] Accellera SystemVerilog 3.1A Focus And Plans Vassilios.Gerousis@Infineon.Com (Thu Jun 12 2003 - 07:19:37 PDT)
- [sv-cc] RE: [sv-ec] Accellera SystemVerilog 3.1A Focus And Plans Vassilios.Gerousis@Infineon.Com (Fri Jun 13 2003 - 01:36:54 PDT)
- [sv-cc] No meeting tomorrow (06/17) Swapnajit Mittra (Mon Jun 16 2003 - 23:33:35 PDT)
- [sv-cc] No meeting until end of this month Swapnajit Mittra (Mon Jun 23 2003 - 22:35:29 PDT)
- [sv-cc] SV-CC meeting re-starting on 07/02/03 Swapnajit Mittra (Mon Jun 30 2003 - 22:23:13 PDT)
- [sv-cc] Wrong syntax for import "DPI" ? Missing "function" or superfluous "function"? Andrzej Litwiniuk (Mon Jul 07 2003 - 14:32:11 PDT)
- RE: [sv-cc] Wrong syntax for import "DPI" ? Missing "function" or Warmke, Doug (Mon Jul 07 2003 - 15:06:22 PDT)
- [sv-cc] Re: Are we meeting today at the usual time? Swapnajit Mittra (Tue Jul 08 2003 - 12:35:36 PDT)
- [sv-cc] Meeting reminder - 07/09/03 Swapnajit Mittra (Tue Jul 08 2003 - 23:08:13 PDT)
- [sv-cc] SV-CC minutes 07/09/2003 Joao Geada (Wed Jul 09 2003 - 09:47:11 PDT)
- [sv-cc] Problems in 3.1 official BNF for DPI Warmke, Doug (Thu Jul 10 2003 - 23:50:43 PDT)
- [sv-cc] Meeting reminder 07/16/03 Swapnajit Mittra (Tue Jul 15 2003 - 23:20:31 PDT)
- [sv-cc] SV3.1A issues for sv-cc from SV3.1 Swapnajit Mittra (Tue Jul 15 2003 - 23:24:47 PDT)
- [sv-cc] Reminder of action item from last week Joao Geada (Wed Jul 16 2003 - 09:31:22 PDT)
- [sv-cc] Meeting minutes, July 16, 2003 Francoise Martinolle (Wed Jul 16 2003 - 09:32:42 PDT)
- [sv-cc] Website update Swapnajit Mittra (Wed Jul 16 2003 - 12:11:07 PDT)
- [sv-cc] Question from ["Edelman, Rich" <rich_edelman@mentorg.com>] Swapnajit Mittra (Wed Jul 16 2003 - 18:10:55 PDT)
- [sv-cc] List of champions of SV committees Swapnajit Mittra (Fri Jul 18 2003 - 09:33:30 PDT)
- [sv-cc] 3.1a LRM Changes documentation David W. Smith (Mon Jul 21 2003 - 16:55:26 PDT)
- [sv-cc] Meeting reminder 07/22/03 Swapnajit Mittra (Tue Jul 22 2003 - 17:05:41 PDT)
- [sv-cc] Meeting minutes from 23-Jul-2003 - SV-CC Michael Rohleder (Wed Jul 23 2003 - 09:43:25 PDT)
- [sv-cc] SV 3.1 LRM Table 11-1: chandle and garbage collection David W. Smith (Wed Jul 23 2003 - 09:52:47 PDT)
- [sv-cc] Meeting reminder 07/30/03 Swapnajit Mittra (Tue Jul 29 2003 - 17:07:50 PDT)
- [sv-cc] Import grammar proposal Joao Geada (Wed Jul 30 2003 - 08:47:34 PDT)
- [sv-cc] SV-CC Meeting Minutes for July 30, 2003 Amouroux, John (Wed Jul 30 2003 - 09:57:32 PDT)
- [sv-cc] Re-worded DPI Import BNF Joao Geada (Wed Jul 30 2003 - 10:20:53 PDT)
- [sv-cc] Fwd: Submission from ["Duncan, Ralph" <ralph_duncan@mentorg.com>] Swapnajit Mittra (Wed Jul 30 2003 - 11:45:15 PDT)
- [sv-cc] Scheduling Semantics - Chapter 14 Michael Rohleder (Thu Jul 31 2003 - 11:14:26 PDT)
- [sv-cc] Vote and polls on 3 issues Swapnajit Mittra (Fri Aug 01 2003 - 12:24:41 PDT)
- [sv-cc] Fwd: Re: [sv-bc] System-Verilog 3.1 import function DPI Francoise Martinolle (Mon Aug 04 2003 - 13:55:35 PDT)
- [sv-cc] MTI donation for exported tasks Warmke, Doug (Mon Aug 04 2003 - 14:36:08 PDT)
- [sv-cc] Mail from ["Clifford E. Cummings" <cliffc@sunburst-design.com>] Swapnajit Mittra (Tue Aug 05 2003 - 15:35:37 PDT)
- RE: [sv-cc] Mail from ["Clifford E. Cummings" <cliffc@sunburst-de sign.com>] Warmke, Doug (Tue Aug 05 2003 - 16:03:18 PDT)
- [sv-cc] Meeting reminder 08/06 Swapnajit Mittra (Tue Aug 05 2003 - 18:39:32 PDT)
- [sv-cc] Synopsys donating VPI extensions Joao Geada (Wed Aug 06 2003 - 08:44:50 PDT)
- [sv-cc] Novas donation to SystemVerilog value change data access Bassam Tabbara (Wed Aug 06 2003 - 08:45:44 PDT)
- [sv-cc] Minutes for 08/06/2003 Joao Geada (Wed Aug 06 2003 - 09:39:48 PDT)
- [sv-cc] FW: [sv-ec] Changes within SystemVerilog organization (SV-EC and BNF) David W. Smith (Thu Aug 07 2003 - 14:55:34 PDT)
- [sv-cc] FW: Process for BNF and LRM changes David W. Smith (Fri Aug 08 2003 - 12:04:52 PDT)
- [sv-cc] No meeting tomorrow (08/13) Swapnajit Mittra (Tue Aug 12 2003 - 15:41:58 PDT)
- [sv-cc] dynamic arrays and open arrays Andrzej Litwiniuk (Tue Aug 12 2003 - 19:10:19 PDT)
- [sv-cc] SystemVerilog 3.1A Face to Face Meeting on September 18 starting at 9:00 Vassilios.Gerousis@Infineon.Com (Fri Aug 15 2003 - 02:24:24 PDT)
- [sv-cc] Meeting reminder - 08/20/03 Swapnajit Mittra (Tue Aug 19 2003 - 21:23:14 PDT)
- [sv-cc] SV-CC minutes for 08/20/03 Warmke, Doug (Wed Aug 20 2003 - 09:36:30 PDT)
- [sv-cc] errata for open arrays: BNF & LRM Andrzej Litwiniuk (Wed Aug 20 2003 - 09:38:09 PDT)
- [sv-cc] No meeting tomorrow (08/27) Swapnajit Mittra (Tue Aug 26 2003 - 16:38:08 PDT)
- [sv-cc] Poll on errata for open arrays Swapnajit Mittra (Thu Aug 28 2003 - 17:28:04 PDT)
- [sv-cc] So long for now Amouroux, John (Tue Sep 02 2003 - 18:51:01 PDT)
- [sv-cc] No meeting tomorrow (09/03) and some comments Swapnajit Mittra (Tue Sep 02 2003 - 21:15:48 PDT)
- [sv-cc] Email problems with AOL accounts David W. Smith (Wed Sep 03 2003 - 14:54:07 PDT)
- [sv-cc] RE: Statements to the Press Michael McNamara (Fri Sep 05 2003 - 15:51:51 PDT)
- [sv-cc] RE: Statements to the Press Vassilios.Gerousis@Infineon.Com (Thu Sep 04 2003 - 23:29:16 PDT)
- [sv-cc] Re: Poll on errata for open arrays Swapnajit Mittra (Sun Sep 07 2003 - 16:03:42 PDT)
- [sv-cc] SystemVerilog 3.1A Meeting on September 18th Vassilios.Gerousis@Infineon.Com (Tue Sep 09 2003 - 03:27:39 PDT)
- [sv-cc] Templates for use in LRM Changes and Submissions David W. Smith (Tue Sep 09 2003 - 09:21:27 PDT)
- [sv-cc] FW: [sv-ec] Conflict due to range and packed_dimension Warmke, Doug (Tue Sep 09 2003 - 11:20:11 PDT)
- [sv-cc] FW: [sv-ec] Conflict due to range and packed_dimension Warmke, Doug (Tue Sep 09 2003 - 11:25:53 PDT)
- [sv-cc] No meetings on 09/10 and 09/17 Swapnajit Mittra (Tue Sep 09 2003 - 22:17:23 PDT)
- [sv-cc] Terms and Conditions of Use of Accellera Standards Vassilios.Gerousis@Infineon.Com (Mon Sep 15 2003 - 00:18:27 PDT)
- [sv-cc] Presentation Slides for September 18. Vassilios.Gerousis@Infineon.Com (Mon Sep 15 2003 - 07:23:51 PDT)
- [sv-cc] EDA.org performance David W. Smith (Mon Sep 15 2003 - 15:54:32 PDT)
- [sv-cc] Proposal on exported tasks Warmke, Doug (Wed Sep 17 2003 - 17:31:18 PDT)
- [sv-cc] Copy of MTI's presentation for SV face-to-face Warmke, Doug (Wed Sep 17 2003 - 21:41:40 PDT)
- [sv-cc] Synopsys Submission to SV 3.1a Ghassan Khoory (Thu Sep 18 2003 - 07:45:34 PDT)
- [sv-cc] Minutes and presentations from the Face to face meeting David W. Smith (Mon Sep 22 2003 - 15:29:47 PDT)
- [sv-cc] Next rev of DPI task proposal Warmke, Doug (Tue Sep 23 2003 - 00:27:48 PDT)
- [sv-cc] Meeting reminder 09/24 Swapnajit Mittra (Tue Sep 23 2003 - 21:37:26 PDT)
- [sv-cc] VPI call to get SDF information? Raghuraman R (Thu Sep 25 2003 - 06:18:36 PDT)
- [sv-cc] Action items from 09/24 Swapnajit Mittra (Thu Sep 25 2003 - 08:41:05 PDT)
- [sv-cc] David Smith's issues with open array LRM changes Warmke, Doug (Thu Sep 25 2003 - 09:13:02 PDT)
- [sv-cc] SV-CC Meeting Minutes for September 24, 2003 Swapnajit Mittra (Fri Sep 26 2003 - 09:07:30 PDT)
- [sv-cc] FW: Errata: LRM 26.4.1.2: Import Fn Arg Direction Duncan, Ralph (Tue Sep 30 2003 - 14:11:05 PDT)
- [sv-cc] Meeting reminder 10/1 Swapnajit Mittra (Tue Sep 30 2003 - 23:20:38 PDT)
- [sv-cc] Minutes of the SV-CC conference call at 31-Sep-2003 Michael Rohleder (Wed Oct 01 2003 - 09:39:52 PDT)
- [sv-cc] New LRM Changes David W. Smith (Thu Oct 02 2003 - 16:08:47 PDT)
- [sv-cc] DPI tasks and function interaction with disable statements Warmke, Doug (Fri Oct 03 2003 - 18:33:17 PDT)
- [sv-cc] Novas donation on VCD Swapnajit Mittra (Fri Oct 03 2003 - 18:48:46 PDT)
- [sv-cc] Meeting reminder 10/08 Swapnajit Mittra (Tue Oct 07 2003 - 19:43:00 PDT)
- [sv-cc] Please rejoin conf call Joao Geada (Wed Oct 08 2003 - 09:08:25 PDT)
- [sv-cc] Minutes October 8, 2003 Joao Geada (Wed Oct 08 2003 - 10:06:09 PDT)
- [sv-cc] FW: [sv-bc] packed dimensions and range Vassilios.Gerousis@Infineon.Com (Fri Oct 10 2003 - 00:45:01 PDT)
- [sv-cc] FW: [sv-bc] packed dimensions and range David W. Smith (Fri Oct 10 2003 - 10:15:15 PDT)
- [sv-cc] FW: sv-cc: proposed errata for LRM-5 & LRM-17 Joao Geada (Fri Oct 10 2003 - 13:22:34 PDT)
- [sv-cc] Vote/Poll/Review announcement Swapnajit Mittra (Mon Oct 13 2003 - 11:45:45 PDT)
- RE: [sv-cc] Vote/Poll/Review Duncan, Ralph (Tue Oct 14 2003 - 17:45:26 PDT)
- [sv-cc] Meeting reminder 10/15 Swapnajit Mittra (Tue Oct 14 2003 - 22:19:03 PDT)
- RE: [sv-cc] DPI tasks and function interaction with disable state ments Warmke, Doug (Wed Oct 15 2003 - 09:09:37 PDT)
- [sv-cc] Minutes for 10/15/03 SV-CC Meeting Warmke, Doug (Wed Oct 15 2003 - 10:59:43 PDT)
- [sv-cc] Disables and DPI interaction Joao Geada (Wed Oct 15 2003 - 13:24:23 PDT)
- [sv-cc] Proposal for Disables and DPI interaction Warmke, Doug (Fri Oct 17 2003 - 23:51:26 PDT)
- [sv-cc] Novas VPI donation in LRM form Bassam Tabbara (Mon Oct 20 2003 - 14:38:01 PDT)
- [sv-cc] sv-cc Erratum Swapnajit Mittra (Mon Oct 20 2003 - 16:07:02 PDT)
- [sv-cc] Meeting reminder 10/22 Swapnajit Mittra (Tue Oct 21 2003 - 22:37:51 PDT)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting - 10-22-03 Stickley, John (Wed Oct 22 2003 - 10:16:20 PDT)
- [sv-cc] Semantics of disable as applied to task/func arguments Joao Geada (Wed Oct 22 2003 - 11:09:16 PDT)
- [sv-cc] ANN: Acceptance vote on Novas VCD donation Swapnajit Mittra (Thu Oct 23 2003 - 16:56:07 PDT)
- [sv-cc] SystemVerilog Face to Face Meeting on November 14 Vassilios.Gerousis@Infineon.Com (Fri Oct 24 2003 - 06:47:25 PDT)
- [sv-cc] Re: Semantics of disable as applied to task/func arguments Swapnajit Mittra (Fri Oct 24 2003 - 12:33:44 PDT)
- Re: [sv-cc] Semantics of disable as applied to task/func arguments Swapnajit Mittra (Fri Oct 24 2003 - 12:37:11 PDT)
- [sv-cc] Re: Semantics of disable as applied to task/func arguments Swapnajit Mittra (Fri Oct 24 2003 - 16:38:31 PDT)
- Re: [sv-bc] Fwd: Re: [sv-cc] Semantics of disable as applied to task/func arguments Peter Flake (Mon Oct 27 2003 - 05:25:01 PST)
- [sv-cc] Re: Semantics of disable as applied to task/func arguments Swapnajit Mittra (Mon Oct 27 2003 - 11:01:35 PST)
- [sv-cc] test - please ignore Steve Grout (Mon Oct 27 2003 - 16:41:12 PST)
- [sv-cc] test - please ignore Steve Grout Majordomo (Mon Oct 27 2003 - 21:16:53 PST)
- [sv-cc] test - please ignore Steve Grout (Mon Oct 27 2003 - 16:08:56 PST)
- [sv-cc] Meeting reminder 10/29/03 Swapnajit Mittra (Tue Oct 28 2003 - 21:33:01 PST)
- [sv-cc] Calling Verilog tasks from C and disable behaviour Francoise Martinolle (Wed Oct 29 2003 - 06:54:55 PST)
- [sv-cc] SystemVerilog 3.1a Draft 1 David W. Smith (Wed Oct 29 2003 - 14:20:14 PST)
- [sv-cc] Minutes for 10/29/03 SV-CC Meeting Duncan, Ralph (Thu Oct 30 2003 - 16:38:02 PST)
- [sv-cc] SV-CC face to face meeting on 11/12 Swapnajit Mittra (Thu Oct 30 2003 - 20:05:35 PST)
- [sv-cc] Separate Compilation Proposal for review David W. Smith (Fri Oct 31 2003 - 14:05:37 PST)
- [sv-cc] LRM_38 David W. Smith (Fri Oct 31 2003 - 16:46:26 PST)
- [sv-cc] Copy of Packages_Sep_V8.pdf David W. Smith (Sat Nov 01 2003 - 23:03:42 PST)
- [sv-cc] SV-CC Face to Face Meeting Warmke, Doug (Tue Nov 04 2003 - 14:59:28 PST)
- [sv-cc] Meeting reminder 11/05 Swapnajit Mittra (Tue Nov 04 2003 - 21:00:45 PST)
- [sv-cc] Full Committee SystemVerilog On November 14 - AT Mentor Graphics - San Jose Vassilios.Gerousis@Infineon.Com (Wed Nov 05 2003 - 06:05:27 PST)
- [sv-cc] Minutes of the SV-CC conf call 5-Nov-2003 Michael Rohleder (Wed Nov 05 2003 - 10:19:44 PST)
- [sv-cc] Minutes of the SV-CC conf call 5-Nov-2003 [revised upon input from Avinash] Michael Rohleder (Wed Nov 05 2003 - 10:55:16 PST)
- [sv-cc] New revision for VPI read/write doc Bassam Tabbara (Wed Nov 05 2003 - 15:05:37 PST)
- [sv-cc] Revision 4 of DPI task proposal Warmke, Doug (Fri Nov 07 2003 - 23:23:24 PST)
- [sv-cc] Location for -- Full Committee SystemVerilog On November 14 - AT Mentor Graphics - San Jose Vassilios.Gerousis@Infineon.Com (Sat Nov 08 2003 - 01:05:16 PST)
- [sv-cc] Tentative agenda for sv-cc f2f Swapnajit Mittra (Mon Nov 10 2003 - 14:56:13 PST)
- [sv-cc] Final agenda and meeting reminder for sv-cc face-to-face 11/12 Swapnajit Mittra (Tue Nov 11 2003 - 20:15:50 PST)
- [sv-cc] CC meeting minutes Francoise Martinolle (Wed Nov 12 2003 - 14:09:27 PST)
- [sv-cc] SV f2f Wed. Nov. 12: VPI overview minutes Bassam Tabbara (Wed Nov 12 2003 - 14:25:08 PST)
- [sv-cc] Final revision of DPI imported tasks proposal Warmke, Doug (Wed Nov 12 2003 - 15:09:41 PST)
- [sv-cc] ANN: Two votes on SV 3.1A donations Swapnajit Mittra (Wed Nov 12 2003 - 15:57:31 PST)
- [sv-cc] List of issues Synopsys VPI extension donation presentation - part II Swapnajit Mittra (Wed Nov 12 2003 - 20:48:09 PST)
- [sv-cc] Comments on proposed VPI for SystemVerilog Swapnajit Mittra (Wed Nov 12 2003 - 21:03:43 PST)
- [sv-cc] More minutes for the face2face (11/12/2003): Bassam's read/write presentation minutes Joao Geada (Thu Nov 13 2003 - 11:24:15 PST)
- [sv-cc] Re: [sv-ac] Full Committee SystemVerilog On November 14 - AT Mentor Graphics - San Jose Clifford E. Cummings (Thu Nov 13 2003 - 22:39:46 PST)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" Swapnajit Mittra (Fri Nov 14 2003 - 18:09:05 PST)
- [sv-cc] Minutes from 14 November 2003 Face-to-face meeting. David W. Smith (Sun Nov 16 2003 - 10:15:56 PST)
- [sv-cc] SystemVerilog Coverage API (chapter 28) proposed errata Joao Geada (Mon Nov 17 2003 - 14:56:58 PST)
- [sv-cc] Face to Face presentations on the web David W. Smith (Tue Nov 18 2003 - 12:21:12 PST)
- [sv-cc] Meeting reminder 11/19 Swapnajit Mittra (Tue Nov 18 2003 - 18:12:05 PST)
- [sv-cc] SV Read VPI revision Bassam Tabbara (Tue Nov 18 2003 - 18:27:41 PST)
- [sv-cc] Compiled meeting minutes from 11/12 (SV-CC F2F). Swapnajit Mittra (Tue Nov 18 2003 - 23:21:21 PST)
- [sv-cc] Minutes of the SV-CC conf call on 19-Nov-2003 Michael Rohleder (Wed Nov 19 2003 - 10:08:08 PST)
- [sv-cc] votes on 3.1A donations Francoise Martinolle (Wed Nov 19 2003 - 14:10:36 PST)
- [sv-cc] Re: ANN: Two votes on SV 3.1A donations Swapnajit Mittra (Thu Nov 20 2003 - 14:30:20 PST)
- [sv-cc] Detailed comments on latest rev of Bassam's proposal Warmke, Doug (Fri Nov 21 2003 - 13:54:41 PST)
- [sv-cc] Assertion API questions Amouroux, John (Tue Nov 25 2003 - 11:49:47 PST)
- [sv-cc] New rev. of the read API Bassam Tabbara (Tue Nov 25 2003 - 12:22:12 PST)
- [sv-cc] Annotated VPI extensions proposal Joao Geada (Tue Nov 25 2003 - 15:32:12 PST)
- [sv-cc] Comments on latest Novas proposal Warmke, Doug (Tue Nov 25 2003 - 16:02:42 PST)
- [sv-cc] Meeting reminder 11/26/03 Swapnajit Mittra (Tue Nov 25 2003 - 20:42:44 PST)
- [sv-cc] Meeting minutes 11/26/03 Francoise Martinolle (Wed Nov 26 2003 - 10:04:12 PST)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" Bassam Tabbara (Wed Nov 26 2003 - 10:31:10 PST)
- [sv-cc] Additional (*pending*) Errata for assertions API chapter Bassam Tabbara (Wed Nov 26 2003 - 10:31:10 PST)
- [sv-cc] Updated Coverage errata Joao Geada (Wed Nov 26 2003 - 12:58:03 PST)
- [sv-cc] New revision of data read VPI section Bassam Tabbara (Tue Dec 02 2003 - 11:22:01 PST)
- [sv-cc] Meeting reminder 03/12/03 Swapnajit Mittra (Tue Dec 02 2003 - 21:31:14 PST)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" (--updated 12/3/03) Bassam Tabbara (Wed Dec 03 2003 - 08:58:53 PST)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" (UPDATED: 12/3/03) Bassam Tabbara (Wed Dec 03 2003 - 09:04:07 PST)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting - 10-22-03 Stickley, John (Wed Dec 03 2003 - 10:13:58 PST)
- [sv-cc] SV Presentations at 2nd System Verilog Symposium David W. Smith (Thu Dec 04 2003 - 18:25:27 PST)
- [sv-cc] Updated coverage errata 12/5/2003 Joao Geada (Fri Dec 05 2003 - 08:34:41 PST)
- RE: [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Asse rtion API" (UPDATED: 12/3/03) Amouroux, John (Fri Dec 05 2003 - 11:30:31 PST)
- [sv-cc] Latest revision of the Synopsys VPI Extensions donation Avinash Mani (Fri Dec 05 2003 - 11:58:35 PST)
- [sv-cc] ANN: Ballots for 2 polls Swapnajit Mittra (Fri Dec 05 2003 - 16:35:20 PST)
- [sv-cc] Changes for Draft 2 David W. Smith (Sat Dec 06 2003 - 02:54:38 PST)
- [sv-cc] Further comments on Novas proposal Warmke, Doug (Sat Dec 06 2003 - 16:16:54 PST)
- [sv-cc] ANN: Ballots for 2 polls Duncan, Ralph (Mon Dec 08 2003 - 10:05:14 PST)
- [sv-cc] Annotated VPI Joao Geada (Tue Dec 09 2003 - 13:13:19 PST)
- [sv-cc] Meeting reminder 12/10/03 Swapnajit Mittra (Tue Dec 09 2003 - 17:40:33 PST)
- [sv-cc] LRM Changes for Chapter 27 of 3.1 "SystemVerilog Assertion API" (UPDATED: 12/5/03) Bassam Tabbara (Wed Dec 10 2003 - 10:29:15 PST)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 12-10-2003 Duncan, Ralph (Wed Dec 10 2003 - 17:14:18 PST)
- [sv-cc] Some thoughts on the tasks ahead, open issues and deadlines Swapnajit Mittra (Thu Dec 11 2003 - 15:52:14 PST)
- [sv-cc] Read API updated version Bassam Tabbara (Sat Dec 13 2003 - 22:14:21 PST)
- [sv-cc] Draft 2 of SystemVerilog LRM David W. Smith (Mon Dec 15 2003 - 17:06:25 PST)
- [sv-cc] RE: Feedback on Read API (VPI enhancement) Joao Geada (Tue Dec 16 2003 - 16:19:30 PST)
- [sv-cc] Implementation of LRM changes David W. Smith (Tue Dec 16 2003 - 17:08:00 PST)
- [sv-cc] Meeting reminder 12/17 Swapnajit Mittra (Tue Dec 16 2003 - 17:32:54 PST)
- [sv-cc] Verification of LRM Changes for Draft 3 David W. Smith (Tue Dec 16 2003 - 17:58:06 PST)
- [sv-cc] Minutes of the Conference Call - SV-CC committee 17-Dec-2003 Michael Rohleder (Wed Dec 17 2003 - 10:31:35 PST)
- [sv-cc] Some more thought on the bootstrapping process Joao Geada (Wed Dec 17 2003 - 13:45:14 PST)
- [sv-cc] READ API: a couple of thoughts, a new issue and a question Francoise Martinolle (Thu Dec 18 2003 - 13:01:31 PST)
- [sv-cc] Milestones Adjustments For SystemVerilog 3.1A Vassilios.Gerousis@infineon.com (Fri Dec 19 2003 - 06:47:33 PST)
- [sv-cc] Re: DPI Exported Task: suggested corrections Swapnajit Mittra (Fri Dec 19 2003 - 14:44:02 PST)
- [sv-cc] Updated SV VPI Extension proposal Joao Geada (Fri Dec 19 2003 - 17:25:47 PST)
- [sv-cc] Updated SV Read VPI LRM Bassam Tabbara (Fri Dec 19 2003 - 18:23:36 PST)
- [sv-cc] Assertion API errata Michael Rohleder (Mon Dec 22 2003 - 08:06:15 PST)
- [sv-cc] Reminder to review the VPI ext and the Reader donations Swapnajit Mittra (Mon Dec 29 2003 - 11:03:44 PST)
- [sv-cc] Assertion API errata, 2nd Michael Rohleder (Fri Jan 02 2004 - 04:52:38 PST)
- [sv-cc] ANN: Vote on the Reader VPI Swapnajit Mittra (Mon Jan 05 2004 - 11:10:25 PST)
- [sv-cc] Assertion VPI errata -- Jan 5, 2004 Bassam Tabbara (Mon Jan 05 2004 - 13:05:44 PST)
- [sv-cc] Meeting reminder 01/07 Swapnajit Mittra (Tue Jan 06 2004 - 17:31:42 PST)
- [sv-cc] Minutes Jan 07 2004 Joao Geada (Wed Jan 07 2004 - 09:39:48 PST)
- [sv-cc] Assertion API errata Michael Rohleder (Wed Jan 07 2004 - 09:47:32 PST)
- [sv-cc] Next SV-CC Conf. Call Info Ghassan Khoory (Wed Jan 07 2004 - 10:41:50 PST)
- [sv-cc] Comments w.r.t. Section 29, SystemVerilog Data Read API Michael Rohleder (Wed Jan 07 2004 - 11:33:36 PST)
- [sv-cc] FYI: VPI and READ API Francoise Martinolle (Wed Jan 07 2004 - 14:54:20 PST)
- [sv-cc] Fwd: READ api issues Francoise Martinolle (Fri Jan 09 2004 - 08:08:39 PST)
- [sv-cc] Draft 3 of the LRM is now available David W. Smith (Fri Jan 09 2004 - 15:22:15 PST)
- [sv-cc] Vote on the READ api Francoise Martinolle (Fri Jan 09 2004 - 21:26:01 PST)
- [sv-cc] Special meeting reminder - 01/12/04 Swapnajit Mittra (Sun Jan 11 2004 - 09:13:16 PST)
- [sv-cc] Editor's Notes in 3.1a Draft 3 LRM David W. Smith (Sun Jan 11 2004 - 22:45:25 PST)
- [sv-cc] Feedback for VPI extension (assertions) Bassam Tabbara (Mon Jan 12 2004 - 09:19:14 PST)
- [sv-cc] Meeting Minutes: SV-CC Special Meeting 01-12-2004 Duncan, Ralph (Mon Jan 12 2004 - 14:35:51 PST)
- [sv-cc] FW: Comments on VPI Extensions to SystemVerilog, December 19,2003 version Ghassan Khoory (Tue Jan 13 2004 - 06:24:11 PST)
- [sv-cc] Updated version of SV VPI extensions (dated Jan 12) Joao Geada (Tue Jan 13 2004 - 14:16:19 PST)
- [sv-cc] Updated rev of Reader VPI extension (1/12/04) Bassam Tabbara (Tue Jan 13 2004 - 16:14:46 PST)
- [sv-cc] Updated rev of Reader VPI extension (1/12/04) Bassam Tabbara (Tue Jan 13 2004 - 16:26:58 PST)
- [sv-cc] Meeting Reminder 01/13/04 Swapnajit Mittra (Tue Jan 13 2004 - 23:09:06 PST)
- [sv-cc] SV-CC minutes Jan 14 2004 Warmke, Doug (Wed Jan 14 2004 - 10:09:08 PST)
- [sv-cc] [Fwd: [sv-ec] Next weeks meeting] Michael Rohleder (Wed Jan 14 2004 - 12:23:52 PST)
- [sv-cc] Comments on latest Data Read VPI Dalio, Debi (Wed Jan 14 2004 - 12:39:48 PST)
- [sv-cc] URGENT: Next Meeting Planned for Monday 1/19 Ghassan Khoory (Wed Jan 14 2004 - 12:46:18 PST)
- [sv-cc] ANN: Assertion Errata poll and VPI Ext vote Swapnajit Mittra (Wed Jan 14 2004 - 14:09:01 PST)
- [sv-cc] vpi_diagrams_1-14.pdf Joao Geada (Wed Jan 14 2004 - 15:39:14 PST)
- [sv-cc] Reader VPI LRM version Bassam Tabbara (Wed Jan 14 2004 - 17:16:56 PST)
- [sv-cc] vpi_diagrams-1-15.pdf Joao Geada (Thu Jan 15 2004 - 16:10:50 PST)
- [sv-cc] Today's SV VPI Update Joao Geada (Mon Jan 19 2004 - 13:03:57 PST)
- RE: [sv-cc] Draft 3 of the LRM - errata + questions Andrzej Litwiniuk (Mon Jan 19 2004 - 14:46:33 PST)
- [sv-cc] Special Meeting reminder - 01/20/04 Swapnajit Mittra (Mon Jan 19 2004 - 20:21:57 PST)
- [sv-cc] issues on assertions/properties Francoise Martinolle (Tue Jan 20 2004 - 09:02:34 PST)
- [sv-cc] SV-CC Minutes 01/20/04 Warmke, Doug (Tue Jan 20 2004 - 10:08:39 PST)
- [sv-cc] Minor comments on VPI extensions doc Michael Rohleder (Tue Jan 20 2004 - 10:32:21 PST)
- [sv-cc] Meeting reminder - 01/21/04 Swapnajit Mittra (Tue Jan 20 2004 - 23:39:13 PST)
- [sv-cc] assertion erratas: 2 minor issues Francoise Martinolle (Wed Jan 21 2004 - 07:53:08 PST)
- [sv-cc] Minutes from SV-CC conference call at 21-Jan-2004 Michael Rohleder (Wed Jan 21 2004 - 10:11:20 PST)
- [sv-cc] vpi_diagrams-1-21-2004.pdf Joao Geada (Wed Jan 21 2004 - 16:44:00 PST)
- [sv-cc] vpi_diagrams-1-21-2004.pdf, 2nd try Joao Geada (Wed Jan 21 2004 - 18:46:41 PST)
- [sv-cc] VPI vote Francoise Martinolle (Wed Jan 21 2004 - 20:19:23 PST)
- [sv-cc] Next meeting Swapnajit Mittra (Thu Jan 22 2004 - 08:20:45 PST)
- [sv-cc] more assertion issues Francoise Martinolle (Thu Jan 22 2004 - 08:30:10 PST)
- [sv-cc] Minutes for 1/23/04 meeting Bassam Tabbara (Fri Jan 23 2004 - 09:13:44 PST)
- [sv-cc] Assertion API errata Joao Geada (Fri Jan 23 2004 - 14:52:49 PST)
- [sv-cc] vpi_diagrams-1-23-2004.pdf Joao Geada (Fri Jan 23 2004 - 14:49:38 PST)
- [sv-cc] Draft4 errata Bassam Tabbara (Fri Jan 23 2004 - 17:54:47 PST)
- [sv-cc] Draft 4 change verification David W. Smith (Sat Jan 24 2004 - 00:34:59 PST)
- [sv-cc] Fw: RE: Acknowledgements Swapnajit Mittra (Tue Jan 27 2004 - 09:30:25 PST)
- [sv-cc] Meeting reminder 01/28/04 Swapnajit Mittra (Tue Jan 27 2004 - 23:09:43 PST)
- [sv-cc] RE: READ API with comments Francoise Martinolle (Wed Jan 28 2004 - 08:56:50 PST)
- [sv-cc] sv-cc minutes 1/28/2004 Joao Geada (Wed Jan 28 2004 - 09:39:05 PST)
- [sv-cc] partitioning of the review Francoise Martinolle (Wed Jan 28 2004 - 10:23:46 PST)
- [sv-cc] Index for Reader VPI chapter Bassam Tabbara (Wed Jan 28 2004 - 13:48:43 PST)
- [sv-cc] Reader VPI defs/routines to be added to vpi_user.h Bassam Tabbara (Wed Jan 28 2004 - 16:18:23 PST)
- [sv-cc] Glossary Bassam Tabbara (Fri Jan 30 2004 - 09:26:49 PST)
- [sv-cc] Assertion API - index Michael Rohleder (Fri Jan 30 2004 - 09:37:41 PST)
- [sv-cc] oversight in 3.1a draft3 27.4.5 Function result - missing scalars Andrzej Litwiniuk (Fri Jan 30 2004 - 10:36:05 PST)
- [sv-cc] DPI Task (and Fn) Index, Glossary material Duncan, Ralph (Fri Jan 30 2004 - 10:42:25 PST)
- [sv-cc] DPI Task Index/Glossary (resend) Duncan, Ralph (Fri Jan 30 2004 - 10:52:48 PST)
- [sv-cc] DPI Errata list Warmke, Doug (Fri Jan 30 2004 - 17:23:39 PST)
- [sv-cc] SystemVerilog 3.1A Review Process Vassilios.Gerousis@infineon.com (Sat Jan 31 2004 - 04:42:51 PST)
- [sv-cc] [sv-ec] SystemVerilog 3.1a Draft 4 by "David W. Smith" <dwsmith@S ynopsys.COM>] Vassilios.Gerousis@infineon.com (Sun Feb 01 2004 - 22:11:05 PST)
- [sv-cc] No meeting tomorrow - please read Swapnajit Mittra (Tue Feb 03 2004 - 20:55:20 PST)
- [sv-cc] Re: [sv-bc] VPI model issues on interfaces Francoise Martinolle (Wed Feb 04 2004 - 07:19:36 PST)
- [sv-cc] Avinash's VPI index Joao Geada (Wed Feb 04 2004 - 08:17:21 PST)
- Re: [sv-cc] No meeting tomorrow - please read Swapnajit Mittra (Thu Feb 05 2004 - 18:05:15 PST)
- [sv-cc] SV-CC Index/Glossary for SV3.1A Swapnajit Mittra (Thu Feb 05 2004 - 18:11:17 PST)
- [sv-cc] SV Full Committee Meeting on March 4, 2004 Vassilios.Gerousis@infineon.com (Mon Feb 09 2004 - 07:28:24 PST)
- [sv-cc] SV 3.1A adjusted deadlines Swapnajit Mittra (Mon Feb 09 2004 - 15:27:02 PST)
- [sv-cc] Annex H of Draft 4 David W. Smith (Mon Feb 09 2004 - 22:41:19 PST)
- [sv-cc] Meeting reminder - 02/11 Swapnajit Mittra (Tue Feb 10 2004 - 20:47:53 PST)
- [sv-cc] Ralph's review notes Warmke, Doug (Wed Feb 11 2004 - 06:40:28 PST)
- [sv-cc] Minutes from the SystemVerilog conference call 11-Feb-2004 Michael Rohleder (Wed Feb 11 2004 - 09:23:30 PST)
- [sv-cc] Review of draft 4 - Person E Michael Rohleder (Thu Feb 12 2004 - 09:52:02 PST)
- [sv-cc] Email problems David W. Smith (Fri Feb 13 2004 - 14:02:11 PST)
- [sv-cc] sv_vpi_user.h revision + some comments Joao Geada (Thu Feb 12 2004 - 18:49:51 PST)
- [sv-cc] FW: sv_vpi_user.h revision + some comments Joao Geada (Fri Feb 13 2004 - 11:36:37 PST)
- [sv-cc] Fw: Last Call for Papers - EDP 2004 - Design Process Workshop Swapnajit Mittra (Fri Feb 13 2004 - 18:30:11 PST)
- [sv-cc] My draft review Bassam Tabbara (Fri Feb 13 2004 - 21:16:37 PST)
- [sv-cc] My draft review Bassam Tabbara (Fri Feb 13 2004 - 21:12:43 PST)
- [sv-cc] No meeting tomorrow - 02/18 Swapnajit Mittra (Tue Feb 17 2004 - 17:07:23 PST)
- [sv-cc] LRM Changes for Draft 5 David W. Smith (Wed Feb 18 2004 - 12:00:43 PST)
- [sv-cc] Draft 5 Changes Review Request David W. Smith (Thu Feb 19 2004 - 17:36:16 PST)
- [sv-cc] RE: [sv-ec] Draft 5 Changes Review Request Warmke, Doug (Tue Feb 24 2004 - 11:35:15 PST)
- [sv-cc] VPI issues Francoise Martinolle (Tue Feb 24 2004 - 11:36:38 PST)
- [sv-cc] Draft 5 Changes Review Request - 4-state part select indexing Duncan, Ralph (Tue Feb 24 2004 - 14:07:52 PST)
- [sv-cc] Draft 5 Changes Rev. Request - 4state Parts (no param change) Duncan, Ralph (Tue Feb 24 2004 - 14:23:09 PST)
- [sv-cc] Review of Draft 5 Changes (Urgent)! David W. Smith (Tue Feb 24 2004 - 15:28:09 PST)
- [sv-cc] Meeting reminder 02/25 Swapnajit Mittra (Wed Feb 25 2004 - 08:49:15 PST)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 02/25/04 Duncan, Ralph (Wed Feb 25 2004 - 10:00:26 PST)
- [sv-cc] Draft 5 Changes Review Request: Part-Select Duncan, Ralph (Wed Feb 25 2004 - 10:30:02 PST)
- [sv-cc] Draft 5 Changes Review Request: Part-Select Swapnajit Mittra (Wed Feb 25 2004 - 10:52:52 PST)
- [sv-cc] Review of Draft 5 Changes (Urgent-again)! David W. Smith (Wed Feb 25 2004 - 11:47:57 PST)
- [sv-cc] Agenda And Plans For Full SV Meeting IN Mountain Views Vassilios.Gerousis@infineon.com (Wed Feb 25 2004 - 21:22:19 PST)
- [sv-cc] Updated SV VPI chapters Joao Geada (Thu Feb 26 2004 - 16:20:55 PST)
- [sv-cc] SystemVerilog 3.1a Draft 5 is available! David W. Smith (Fri Feb 27 2004 - 16:43:55 PST)
- [sv-cc] LRM Milestones dates and Committee Voting Vassilios.Gerousis@infineon.com (Fri Feb 27 2004 - 22:51:58 PST)
- [sv-cc] RE: [sv-ec] Agenda And Plans For Full SV Meeting IN Mountain View s Vassilios.Gerousis@infineon.com (Mon Mar 01 2004 - 15:27:02 PST)
- [sv-cc] No meeting tomorrow Swapnajit Mittra (Tue Mar 02 2004 - 20:44:51 PST)
- [sv-cc] Minutes and slides from today's face-to-face meeting David W. Smith (Thu Mar 04 2004 - 23:30:17 PST)
- [sv-cc] EDA.org unavailable this weekend David W. Smith (Fri Mar 05 2004 - 08:43:15 PST)
- [sv-cc] VPI model interface issues Francoise Martinolle (Fri Mar 05 2004 - 15:37:04 PST)
- [sv-cc] eda.org is up and available. David W. Smith (Mon Mar 08 2004 - 10:25:41 PST)
- [sv-cc] eda.org is up and available. David W. Smith (Mon Mar 08 2004 - 09:50:57 PST)
- [sv-cc] Test - We have new hardware for eda.org Swapnajit Mittra (Mon Mar 08 2004 - 15:05:48 PST)
- [sv-cc] No meeting tomorrow AND VOTING STARTS Swapnajit Mittra (Tue Mar 09 2004 - 20:47:25 PST)
- [sv-cc] Ballot for Final Approval Vote Swapnajit Mittra (Wed Mar 10 2004 - 11:56:02 PST)
- [sv-cc] Documentation updates Swapnajit Mittra (Wed Mar 10 2004 - 13:01:08 PST)
- [sv-cc] Meeting reminder - 03/17 Swapnajit Mittra (Tue Mar 16 2004 - 23:05:28 PST)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 03/17/04 Duncan, Ralph (Wed Mar 17 2004 - 10:09:04 PST)
- [sv-cc] Suggest LRM warning: lack of certain type equivalences Duncan, Ralph (Thu Mar 18 2004 - 13:37:53 PST)
- [sv-cc] DPI: dynamic array cannot be passed for DPI open array output arg Andrzej Litwiniuk (Thu Mar 18 2004 - 15:47:59 PST)
- [sv-cc] Additional minor corrections to VPI Joao Geada (Mon Mar 22 2004 - 14:57:56 PST)
- [sv-cc] No meeting tomorrow (03/24) and ... Swapnajit Mittra (Tue Mar 23 2004 - 20:47:10 PST)
- [sv-cc] LRM errata (posted already on website) Bassam Tabbara (Wed Mar 24 2004 - 18:31:26 PST)
- [sv-cc] Mail freom Charles Dawson <chas@cadence.com> Swapnajit Mittra (Thu Mar 25 2004 - 14:55:04 PST)
- [sv-cc] SystemVerilog 3.1a Draft 6 is available David W. Smith (Fri Mar 26 2004 - 16:19:40 PST)
- [sv-cc] No meeting tomorrow (03/31) Swapnajit Mittra (Tue Mar 30 2004 - 17:54:19 PST)
- [sv-cc] No meeting today (04/07) Swapnajit Mittra (Wed Apr 07 2004 - 07:16:41 PDT)
- [sv-cc] RE: [sv-bc] our next tele-call meeting Francoise Martinolle (Tue Apr 13 2004 - 13:05:02 PDT)
- [sv-cc] sv-cc errata Swapnajit Mittra (Tue Apr 13 2004 - 15:42:37 PDT)
- [sv-cc] Meeting reminder 04/14/04 Swapnajit Mittra (Tue Apr 13 2004 - 15:46:02 PDT)
- [sv-cc] VPI issues to be addressed Joao Geada (Wed Apr 14 2004 - 08:50:13 PDT)
- [sv-cc] Minutes of SV-CC meeting on 04/14/2004 Avinash Mani (Wed Apr 14 2004 - 09:40:51 PDT)
- [sv-cc] Question on LRM E.6.7 Warmke, Doug (Thu Apr 15 2004 - 11:31:47 PDT)
- [sv-cc] New erratas on Vpi Francoise Martinolle (Fri Apr 16 2004 - 13:18:47 PDT)
- [sv-cc] sv-cc errata Joao Geada (Fri Apr 16 2004 - 17:33:14 PDT)
- [sv-cc] Meeting reminder - 04/21/2004 Swapnajit Mittra (Tue Apr 20 2004 - 18:02:25 PDT)
- [sv-cc] What happened to the meeting or Swapnajit or both? Swapnajit Mittra (Wed Apr 21 2004 - 12:09:59 PDT)
- [sv-cc] Errors in LRM draft6 to add Bassam Tabbara (Wed Apr 21 2004 - 14:28:07 PDT)
- [sv-cc] Meeting Call Set up for Friday 9:00 AM PST Ghassan Khoory (Thu Apr 22 2004 - 10:39:45 PDT)
- [sv-cc] Special Meeting reminder - 04/23/04 Swapnajit Mittra (Thu Apr 22 2004 - 16:01:11 PDT)
- [sv-cc] Proposed changes to 4-state packed vector representation Warmke, Doug (Fri Apr 23 2004 - 09:17:17 PDT)
- [sv-cc] sv-cc-issue-tracking.xls Joao Geada (Fri Apr 23 2004 - 09:54:37 PDT)
- [sv-cc] Minutes of the special SV-CC conference call on 23-Apr-2004 Michael Rohleder (Mon Apr 26 2004 - 02:25:50 PDT)
- [sv-cc] No meeting tomorrow (04/28) Swapnajit Mittra (Tue Apr 27 2004 - 17:44:38 PDT)
- [sv-cc] Congratulation TEAM - SystemVerilog 3.1A Approved By Accellera Board Vassilios.Gerousis@infineon.com (Thu Apr 29 2004 - 09:22:00 PDT)
- [sv-cc] Re: Congratulation TEAM - SystemVerilog 3.1A Approved By Accellera Boa rd Swapnajit Mittra (Thu Apr 29 2004 - 11:03:46 PDT)
- [sv-cc] 2 more items for Errata list Bassam Tabbara (Fri Apr 30 2004 - 09:25:44 PDT)
- [sv-cc] SystemVerilog Technical Planning Meeting on June 3 -- San Jose Vassilios.Gerousis@infineon.com (Fri Apr 30 2004 - 23:59:34 PDT)
- [sv-cc] No meeting tomorrow (05/05) Swapnajit Mittra (Tue May 04 2004 - 16:46:39 PDT)
- [sv-cc] Errata: vpiFuncType Francoise Martinolle (Thu May 06 2004 - 11:51:45 PDT)
- [sv-cc] Fw: Accellera Technical Excellence Award - Call for Nominations Swapnajit Mittra (Fri May 07 2004 - 09:19:12 PDT)
- [sv-cc] No meeting tomorrow (05/12); AI for Joao Swapnajit Mittra (Tue May 11 2004 - 15:41:22 PDT)
- [sv-cc] sv-cc updated issue tracking spreadsheet (5/11/2004) Joao Geada (Tue May 11 2004 - 15:50:04 PDT)
- [sv-cc] Errata for SV3.1A (2 typos) Duncan, Ralph (Fri May 14 2004 - 10:23:56 PDT)
- [sv-cc] SystemVerilog Errata Technical Planning Meeting on June 3 -- Mentor Graphics Vassilios.Gerousis@infineon.com (Sun May 16 2004 - 02:31:44 PDT)
- [sv-cc] RE: [sv-ac] SystemVerilog Errata Technical Planning Meeting on Ju ne 3 -- Mentor Graphics Brophy, Dennis (Mon May 17 2004 - 10:20:39 PDT)
- [sv-cc] Conf. Call for Today Wed. 5/19 is Cancelled Ghassan Khoory (Wed May 19 2004 - 06:49:30 PDT)
- [sv-cc] No meeting tomorrow (05/26), AI for Joao Swapnajit Mittra (Tue May 25 2004 - 13:40:42 PDT)
- [sv-cc] sv-cc updated issue tracking spreadsheet (5/25/2004) Joao Geada (Tue May 25 2004 - 13:55:29 PDT)
- [sv-cc] vpi_create Francoise Martinolle (Tue May 25 2004 - 12:51:59 PDT)
- [sv-cc] Message Forward - from Kausik Datta --- packed struct/union semantic Vassilios.Gerousis@infineon.com (Mon May 31 2004 - 08:36:51 PDT)
- [sv-cc] Message Forward - from Kausik Datta --- packed struct/union semantic -Second Question Vassilios.Gerousis@infineon.com (Mon May 31 2004 - 08:40:29 PDT)
- [sv-cc] No meeting today (06/02) and some announcements Swapnajit Mittra (Wed Jun 02 2004 - 10:00:04 PDT)
- [sv-cc] Test of the new alias David W. Smith (Wed Jun 02 2004 - 14:16:08 PDT)
- [sv-cc] New Bug System David W. Smith (Wed Jun 02 2004 - 15:55:25 PDT)
- [sv-cc] Login to bug system David W. Smith (Wed Jun 02 2004 - 16:26:49 PDT)
- [sv-cc] [LOCATION UPDATE] SystemVerilog Errata Technical Planning Meeting on June 3 -- Mentor Graphics Brophy, Dennis (Wed Jun 02 2004 - 17:15:50 PDT)
- [sv-cc] Re: New errata procedure? mittra@juno.com (Tue Jun 08 2004 - 14:24:24 PDT)
- [sv-cc] Errata for SV3.1A (3 section references) Duncan, Ralph (Tue Jun 08 2004 - 15:20:25 PDT)
- [sv-cc] FW: SV 3.1a Hypertext BNF David W. Smith (Thu Jun 10 2004 - 20:48:43 PDT)
- [sv-cc] AI on errata list: Joao Swapnajit Mittra (Thu Jun 17 2004 - 11:16:01 PDT)
- [sv-cc] Errata for SV3.1A (Imported Task Return Type) Duncan, Ralph (Thu Jun 17 2004 - 13:51:21 PDT)
- [sv-cc] SystemVerilog 3.1A Errata Development Vassilios.Gerousis@Infineon.Com (Thu Jun 17 2004 - 22:45:49 PDT)
- [sv-cc] SystemVerilog 3.1A Errata And IEEE P1800 Activities Vassilios.Gerousis@Infineon.Com (Fri Jul 02 2004 - 03:36:55 PDT)
- [sv-cc] SV Extensions Bug Database David W. Smith (Tue Jul 06 2004 - 18:42:45 PDT)
- [sv-cc] RE: Current SV-CC Errata? Swapnajit Mittra (Tue Jul 06 2004 - 19:13:44 PDT)
- [sv-cc] RE: [sv-bc] Re: [sv-ec] SystemVerilog 3.1A Errata And IEEE P1800 Activities David W. Smith (Wed Jul 07 2004 - 01:39:26 PDT)
- [sv-cc] RE: [sv-bc] Re: [sv-ec] SV Extensions Bug Database David W. Smith (Wed Jul 07 2004 - 18:28:06 PDT)
- [sv-cc] RE: [sv-ec] SV Extensions Bug Database David W. Smith (Wed Jul 07 2004 - 18:34:13 PDT)
- [sv-cc] Updated errata spreadsheet (7/8/2004) Joao Geada (Fri Jul 09 2004 - 11:08:51 PDT)
- [sv-cc] Tomorrow's meeting canceled - please read Swapnajit Mittra (Tue Jul 13 2004 - 17:07:35 PDT)
- [sv-cc] Import fn return value datatype: default issue Duncan, Ralph (Tue Jul 20 2004 - 17:11:02 PDT)
- [sv-cc] Meeting reminder - 07/21/04 Swapnajit Mittra (Tue Jul 20 2004 - 17:20:12 PDT)
- [sv-cc] SystemVerilog Errata Meeting on August 6. Vassilios.Gerousis@Infineon.Com (Wed Jul 21 2004 - 05:35:23 PDT)
- [sv-cc] Meeting minutes - 07/21/04 Swapnajit Mittra (Wed Jul 21 2004 - 10:49:12 PDT)
- [sv-cc] Bug# 7 Swapnajit Mittra (Wed Jul 21 2004 - 10:51:20 PDT)
- [sv-cc] Please mention your name while filing a bug Swapnajit Mittra (Thu Jul 22 2004 - 15:29:51 PDT)
- [sv-cc] Re: [sv-ec] SystemVerilog Errata Meeting on August 6. Francoise Martinolle (Fri Jul 23 2004 - 08:37:48 PDT)
- [sv-cc] RE: SystemVerilog Errata Meeting on August 6. Vassilios.Gerousis@Infineon.Com (Mon Jul 26 2004 - 20:31:54 PDT)
- [sv-cc] Errata? Handling DPI parameters of type 'reg' Duncan, Ralph (Tue Jul 27 2004 - 13:21:48 PDT)
- [sv-cc] No meeting tomorrow (07/26), but there will be one on 08/04/04 Swapnajit Mittra (Tue Jul 27 2004 - 14:17:34 PDT)
- [sv-cc] RE: SystemVerilog Errata Meeting on August 6. Vassilios.Gerousis@Infineon.Com (Tue Jul 27 2004 - 20:37:07 PDT)
- [sv-cc] Next SV-CC Meeting - August 11, 2004 Ghassan Khoory (Mon Aug 02 2004 - 15:57:25 PDT)
- [sv-cc] Re: [sv-ec] RE: SystemVerilog Errata Meeting on August 6. Francoise Martinolle (Fri Aug 06 2004 - 12:41:37 PDT)
- [sv-cc] RE: [sv-ec] RE: SystemVerilog Errata Meeting on August 6. Vassilios.Gerousis@Infineon.Com (Fri Aug 06 2004 - 15:41:22 PDT)
- [sv-cc] Fwd: Re: [sv-bc] RE: SystemVerilog Errata Meeting on August 6. Vassilios Gerousis (Mon Aug 09 2004 - 08:42:33 PDT)
- [sv-cc] (text version) Minutes of the SystemVerilog errata meeting August 6, 2 004 Swapnajit Mittra (Mon Aug 09 2004 - 10:38:20 PDT)
- [sv-cc] Errata for SV3.1A (Multiple Packed Array Dimensions) Duncan, Ralph (Tue Aug 10 2004 - 10:34:58 PDT)
- [sv-cc] Meeting tomorrow - agenda Joao Geada (Tue Aug 10 2004 - 13:20:51 PDT)
- [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 08/11/2004 Duncan, Ralph (Wed Aug 11 2004 - 15:17:32 PDT)
- [sv-cc] Updated errata 80 Francoise Martinolle (Mon Aug 16 2004 - 11:51:41 PDT)
- [sv-cc] Meeting today: agenda Joao Geada (Wed Aug 18 2004 - 06:48:41 PDT)
- [sv-cc] Message from Karen Swapnajit Mittra (Wed Aug 18 2004 - 21:57:28 PDT)
- [sv-cc] Accellera SV-CC goes into official hibernation Swapnajit Mittra (Thu Aug 19 2004 - 08:25:57 PDT)
- [sv-cc] FW: DVCon Call For Papers Brophy, Dennis (Mon Aug 23 2004 - 18:57:44 PDT)
- [sv-cc] Meeting this week Karen Pieper (Tue Sep 07 2004 - 13:05:47 PDT)
- [sv-cc] SV-CC Meeting Karen Pieper (Wed Sep 08 2004 - 13:51:10 PDT)
- [sv-cc] Documents for SV-CC errata meeting Karen Pieper (Fri Sep 10 2004 - 08:55:53 PDT)
- [sv-cc] Minutes from today's meeting Karen Pieper (Fri Sep 10 2004 - 15:37:27 PDT)
- [sv-cc] [Fwd: Call for participation: IEEE 1800 CC - errata committee] Charles Dawson (Tue Sep 14 2004 - 14:54:30 PDT)
- [sv-cc] SV-CC agenda for 9/15/2004 Charles Dawson (Wed Sep 15 2004 - 08:23:18 PDT)
- Fwd: [sv-cc] Meeting Minutes: SV-CC Weekly Meeting 08/11/2004 Francoise Martinolle (Wed Sep 15 2004 - 08:52:01 PDT)
- [sv-cc] SV-CC Meeting minutes for 09/15/2004 Charles Dawson (Fri Sep 17 2004 - 13:49:51 PDT)
- [sv-cc] My proposals Jim Vellenga (Mon Sep 20 2004 - 09:10:11 PDT)
- [sv-cc] question about mapping of types in DPI Francoise Martinolle (Mon Sep 20 2004 - 10:47:59 PDT)
- [sv-cc] I updated errata 80 Francoise Martinolle (Tue Sep 21 2004 - 13:03:25 PDT)
- [sv-cc] SV-CC agenda for 9/22/2004 Charles Dawson (Tue Sep 21 2004 - 14:01:26 PDT)
- [sv-cc] SV-CC agenda for 9/22/2004 Charles Dawson (Tue Sep 21 2004 - 14:38:05 PDT)
- [sv-cc] SV-CC Meeting minutes for 09/22/2004 Charles Dawson (Wed Sep 22 2004 - 14:31:42 PDT)
- [sv-cc] SV-CC agenda for 9/29/2004 Charles Dawson (Wed Sep 29 2004 - 08:02:30 PDT)
- [sv-cc] SV-CC Meeting minutes for 09/29/2004 Charles Dawson (Fri Oct 01 2004 - 13:32:23 PDT)
- [sv-cc] Breaking down 205 into smaller issues Jim Vellenga (Mon Oct 04 2004 - 12:12:02 PDT)
- [sv-cc] SV-CC agenda for 10/06/2004 Charles Dawson (Mon Oct 04 2004 - 13:46:03 PDT)
- [sv-cc] Subdividing 205... Recounting specifics Duncan, Ralph (Mon Oct 04 2004 - 15:48:16 PDT)
- [sv-cc] representation of packed arrays and binary level compatibility Andrzej I. Litwiniuk (Mon Oct 04 2004 - 19:52:16 PDT)
- [sv-cc] Issue 205 summary 10/05/04 Warmke, Doug (Tue Oct 05 2004 - 23:21:33 PDT)
- [sv-cc] SV-CC agenda for 10/06/2004 Duncan, Ralph (Wed Oct 06 2004 - 08:47:47 PDT)
- [sv-cc] uploaded formal proposal for errata 80 Francoise Martinolle (Wed Oct 06 2004 - 13:29:23 PDT)
- [sv-cc] C Macros For C++ Virtual Function access Kevin Cameron (Wed Oct 06 2004 - 17:45:24 PDT)
- [sv-cc] SV-CC Meeting minutes for 10/06/2004 Charles Dawson (Fri Oct 08 2004 - 12:46:29 PDT)
- [sv-cc] Packed types (205): discovery and request Duncan, Ralph (Mon Oct 11 2004 - 13:42:33 PDT)
- [sv-cc] SV-CC agenda for 10/13/2004 Charles Dawson (Tue Oct 12 2004 - 09:40:47 PDT)
- [sv-cc] Changes to SV-CC database items Charles Dawson (Tue Oct 12 2004 - 14:52:14 PDT)
- [sv-cc] [Fwd: SVDB Process document] Charles Dawson (Wed Oct 13 2004 - 09:10:15 PDT)
- [sv-cc] uploaded new proposal for erratum 80. Francoise Martinolle (Wed Oct 13 2004 - 10:08:54 PDT)
- [sv-cc] SVDB Operating Procedures and Champions pages are available Karen Pieper (Wed Oct 13 2004 - 15:30:28 PDT)
- [sv-cc] More information on C ABI's for those interested Warmke, Doug (Wed Oct 13 2004 - 21:37:17 PDT)
- [sv-cc] SV-CC Meeting minutes for 10/13/2004 Charles Dawson (Thu Oct 14 2004 - 09:52:25 PDT)
- [sv-cc] SV-CC agenda for 10/20/2004 Charles Dawson (Wed Oct 20 2004 - 06:27:39 PDT)
- [sv-cc] SV types that are not C compatible Andrzej I. Litwiniuk (Wed Oct 20 2004 - 07:32:34 PDT)
- [sv-cc] SV-CC Meeting minutes for 10/20/2004 Charles Dawson (Wed Oct 20 2004 - 11:29:14 PDT)
- [sv-cc] Packed Type Compatibility: alternative approaches Duncan, Ralph (Wed Oct 20 2004 - 14:05:49 PDT)
- [sv-cc] Database assignments Charles Dawson (Wed Oct 20 2004 - 14:14:37 PDT)
- [sv-cc] FW: use of rational rose for the 1364 and 1800 VPI information model Francoise Martinolle (Wed Oct 20 2004 - 17:54:40 PDT)
- [sv-cc] New bugs files Bassam Tabbara (Thu Oct 21 2004 - 00:19:38 PDT)
- [sv-cc] RE: [SystemVerilog Errata 0000044]: var bit vs. vpiVarBitVar in VPI Jim Vellenga (Fri Oct 22 2004 - 07:23:27 PDT)
- [sv-cc] Proposal (combined) for issues 121, 122, 123 Jim Vellenga (Fri Oct 22 2004 - 13:36:23 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Maidment, Matthew R" <matthew.r.maidment@intel.com>]] Charles Dawson (Fri Oct 22 2004 - 13:39:37 PDT)
- [sv-cc] Item 205, proposal ready, summary Duncan, Ralph (Tue Oct 26 2004 - 10:33:44 PDT)
- [sv-cc] SV-CC agenda for 10/27/2004 Charles Dawson (Tue Oct 26 2004 - 12:56:31 PDT)
- RE: [sv-cc] Item 205 proposal: errors and changes Duncan, Ralph (Tue Oct 26 2004 - 13:47:25 PDT)
- [sv-cc] Unpacked unions containing unpacked structs Warmke, Doug (Tue Oct 26 2004 - 17:29:51 PDT)
- [sv-cc] New Mantis item (274) on DPI's svBitVec32 Warmke, Doug (Tue Oct 26 2004 - 22:31:24 PDT)
- RE: [sv-cc] New Mantis item (274) on DPI's svBitVec32 --> open arrays 27.1.2 Warmke, Doug (Wed Oct 27 2004 - 07:24:08 PDT)
- [sv-cc] Proposal 123 updated Jim Vellenga (Wed Oct 27 2004 - 09:38:11 PDT)
- [sv-cc] Another errata for 32 bit wide items ? Michael Rohleder (Wed Oct 27 2004 - 10:08:42 PDT)
- [sv-cc] Assertion VPI discussion Bassam Tabbara (Wed Oct 27 2004 - 11:25:22 PDT)
- [sv-cc] SV-CC Meeting minutes for 10/26/2004 Charles Dawson (Wed Oct 27 2004 - 12:27:04 PDT)
- [sv-cc] Minor bug submitted Bassam Tabbara (Wed Oct 27 2004 - 17:37:09 PDT)
- [sv-cc] Urgent: Need clarification on example 3 of section E.9.4 Francoise Martinolle (Thu Oct 28 2004 - 07:39:47 PDT)
- [sv-cc] Submitted errata 278 for example 3 in section E.9.4 Francoise Martinolle (Thu Oct 28 2004 - 07:43:58 PDT)
- [sv-cc] Proposal added for issue 60 Jim Vellenga (Fri Oct 29 2004 - 10:47:06 PDT)
- [sv-cc] Recap of SV-CC item 274 Warmke, Doug (Mon Nov 01 2004 - 22:47:04 PST)
- [sv-cc] SV-CC proposals updated Warmke, Doug (Mon Nov 01 2004 - 22:53:46 PST)
- [sv-cc] Questions on 205 and 278/279 Steven J. Dovich (Tue Nov 02 2004 - 12:56:23 PST)
- [sv-cc] Suggestion for proposal for #121 Jim Vellenga (Tue Nov 02 2004 - 13:58:45 PST)
- [sv-cc] SV-CC agenda for 11/03/2004 Charles Dawson (Tue Nov 02 2004 - 14:50:16 PST)
- [sv-cc] Packed Array macros (205, 278) Duncan, Ralph (Tue Nov 02 2004 - 16:40:48 PST)
- [sv-cc] RE: Suggestion for proposal for #121 Warmke, Doug (Tue Nov 02 2004 - 17:01:58 PST)
- [sv-cc] SV Interface with SystemC Slater Rob-R53680 (Wed Nov 03 2004 - 05:52:30 PST)
- [sv-cc] Item 205: 64-bit concerns Duncan, Ralph (Wed Nov 03 2004 - 08:01:25 PST)
- [sv-cc] errata 279 is duplicate of 278 Francoise Martinolle (Wed Nov 03 2004 - 17:04:03 PST)
- [sv-cc] Meeting minutes Charles Dawson (Thu Nov 04 2004 - 07:10:20 PST)
- [sv-cc] Updated 265 (Assertion VPI) Bassam Tabbara (Thu Nov 04 2004 - 15:08:33 PST)
- [sv-cc] New erratum with proposal Jim Vellenga (Mon Nov 08 2004 - 08:57:15 PST)
- [sv-cc] Issue #61 Jim Vellenga (Mon Nov 08 2004 - 10:27:26 PST)
- [sv-cc] New issue, new proposal ... Jim Vellenga (Mon Nov 08 2004 - 13:03:30 PST)
- [sv-cc] SV-CC Meeting minutes for 11/03/2004 Charles Dawson (Mon Nov 08 2004 - 14:47:38 PST)
- [sv-cc] SV-CC Minutes for 11/03/04: tallies Duncan, Ralph (Tue Nov 09 2004 - 08:59:05 PST)
- [sv-cc] Proposal uploaded for #72, #288 Michael Rohleder (Tue Nov 09 2004 - 09:15:32 PST)
- [sv-cc] SV-CC agenda for 11/10/2004 Charles Dawson (Tue Nov 09 2004 - 15:46:13 PST)
- [sv-cc] SV-CC Meeting minutes for 11/10/2004 Charles Dawson (Wed Nov 10 2004 - 12:51:30 PST)
- [sv-cc] Example "foo7" added to 274's proposal Warmke, Doug (Wed Nov 10 2004 - 13:24:19 PST)
- [sv-cc] Proposal added to 295 (no var bit typespec) Jim Vellenga (Wed Nov 10 2004 - 13:48:20 PST)
- [sv-cc] Updated 265 with new proposal Bassam Tabbara (Wed Nov 10 2004 - 15:07:04 PST)
- [sv-cc] Issue #65 -- clarification Jim Vellenga (Thu Nov 11 2004 - 05:45:10 PST)
- [sv-cc] Are all unpacked arrays VPI array vars? Jim Vellenga (Thu Nov 11 2004 - 12:39:23 PST)
- [sv-cc] P1800 issues ready for Champions review Karen Pieper (Thu Nov 11 2004 - 17:30:14 PST)
- [sv-cc] Proposal for multiple statements in task or function Jim Vellenga (Fri Nov 12 2004 - 05:41:30 PST)
- [sv-cc] RE: Proposal for multiple statements in task or function Jim Vellenga (Fri Nov 12 2004 - 05:42:42 PST)
- [sv-cc] Proposal for vpiType strings for reg vs. logic var Jim Vellenga (Fri Nov 12 2004 - 05:48:10 PST)
- [sv-cc] Proposal for 79 (true/TRUE and false/FALSE) uploaded Jim Vellenga (Fri Nov 12 2004 - 07:23:35 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Maidment, Matthew R" <matthew.r.maidment@intel.com>]] Charles Dawson (Mon Nov 15 2004 - 11:44:38 PST)
- [sv-cc] PTF items and a proposal for PTF 342. Charles Dawson (Tue Nov 16 2004 - 06:54:36 PST)
- [sv-cc] ptf-passed issues Shalom.Bresticker@freescale.com (Tue Nov 16 2004 - 12:09:08 PST)
- [sv-cc] SV-CC agenda for 11/17/2004 Charles Dawson (Tue Nov 16 2004 - 13:23:35 PST)
- [sv-cc] discuss cc errata 267 at the next cc meeting Francoise Martinolle (Tue Nov 16 2004 - 17:18:28 PST)
- [sv-cc] very simple proposals for vote: 299, 300 Francoise Martinolle (Tue Nov 16 2004 - 18:06:44 PST)
- [sv-cc] New version of SV-CC 201 is uploaded Warmke, Doug (Wed Nov 17 2004 - 00:18:04 PST)
- [sv-cc] Errata 288 updated Michael Rohleder (Wed Nov 17 2004 - 10:10:26 PST)
- [sv-cc] Errata 72 updated Michael Rohleder (Wed Nov 17 2004 - 10:19:46 PST)
- [sv-cc] Errata 72 updated Michael Rohleder (Wed Nov 17 2004 - 11:51:59 PST)
- [sv-cc] SV-CC Meeting minutes for 11/17/2004 Charles Dawson (Wed Nov 17 2004 - 12:01:20 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Mark Hartoog" <Mark.Hartoog@synopsys.com>]] Charles Dawson (Wed Nov 17 2004 - 12:31:02 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Kathy McKinley <mckinley@cadence.com>]] Charles Dawson (Wed Nov 17 2004 - 12:52:51 PST)
- [sv-cc] CC related changes to the proposal for data types on nets. Francoise Martinolle (Wed Nov 17 2004 - 13:25:12 PST)
- [sv-cc] Deadline! Charles Dawson (Wed Nov 17 2004 - 13:27:09 PST)
- [sv-cc] Non-member submissions from last night on types on nets Charles Dawson (Thu Nov 18 2004 - 06:34:09 PST)
- [sv-cc] Item 313: PTF 296: Generate stmts will need change made in VPI Charles Dawson (Thu Nov 18 2004 - 14:12:44 PST)
- [sv-cc] RE: [sv-ec] SV-EC errata Meeting November 8, 2004 Minutes Warmke, Doug (Thu Nov 18 2004 - 18:39:51 PST)
- [sv-cc] Champions Issue Review and Minutes Posted Karen Pieper (Thu Nov 18 2004 - 19:29:27 PST)
- [sv-cc] [Fwd: Rev 3 of Data Types on Nets Proposal] Charles Dawson (Fri Nov 19 2004 - 12:29:31 PST)
- [sv-cc] Proposal for SV-CC issue 307 (Old PTF 530) Jim Vellenga (Mon Nov 22 2004 - 07:21:07 PST)
- [sv-cc] Proposal for VPI support of types on nets Jim Vellenga (Mon Nov 22 2004 - 08:46:51 PST)
- [sv-cc] Proposal 318 (2 utility functions) Duncan, Ralph (Mon Nov 22 2004 - 10:41:56 PST)
- [sv-cc] Clarification on my earlier mail regarding on strings Warmke, Doug (Mon Nov 22 2004 - 13:53:12 PST)
- [sv-cc] SV-CC agenda for 11/24/2004 Charles Dawson (Mon Nov 22 2004 - 18:28:18 PST)
- [sv-cc] RE: [sv-ec] Clarification on my earlier mail regarding on strings Slater Rob-R53680 (Mon Nov 22 2004 - 23:48:18 PST)
- [sv-cc] Multiple NUL Terminated Strings (WAS: Clarification on my earlier mail regarding on strings) Slater Rob-R53680 (Tue Nov 23 2004 - 08:54:58 PST)
- [sv-cc] Proposal posted for 309 (PTF 622) Jim Vellenga (Tue Nov 23 2004 - 13:39:47 PST)
- [sv-cc] Proposal uploaded for 278 Steven J. Dovich (Wed Nov 24 2004 - 05:01:47 PST)
- [sv-cc] (Fwd) BOUNCE sv-cc@eda.org: Non-member submission from ["Stuart Sutherland" <stuart@sutherland-hdl.com>] Charles Dawson (Wed Nov 24 2004 - 08:51:49 PST)
- [sv-cc] Proposals for #282 ... Jim Vellenga (Wed Nov 24 2004 - 09:58:44 PST)
- [sv-cc] Proposal for #284 ... Jim Vellenga (Wed Nov 24 2004 - 10:00:55 PST)
- [sv-cc] Proposal for #288 Michael Rohleder (Wed Nov 24 2004 - 11:02:07 PST)
- [sv-cc] Proposal for #301 Jim Vellenga (Wed Nov 24 2004 - 11:52:28 PST)
- [sv-cc] SV-201 has been updated Warmke, Doug (Wed Nov 24 2004 - 12:59:54 PST)
- [sv-cc] RE: Corrected proposal for uwire Jim Vellenga (Mon Nov 29 2004 - 07:03:29 PST)
- [sv-cc] Item 160: define 'linearize' multiple packed dimensions Duncan, Ralph (Mon Nov 29 2004 - 10:40:22 PST)
- [sv-cc] Updated proposal for #61 Jim Vellenga (Mon Nov 29 2004 - 12:43:39 PST)
- [sv-cc] Proposals for #63, #158 Michael Rohleder (Tue Nov 30 2004 - 11:48:19 PST)
- [sv-cc] [Fwd: Re: Immediate priority issues] Charles Dawson (Tue Nov 30 2004 - 13:03:46 PST)
- [sv-cc] Commenets on proposal for #158 Jim Vellenga (Tue Nov 30 2004 - 13:05:30 PST)
- [sv-cc] uploaded proposal for erratum 267 (vpiQualifier) Francoise Martinolle (Tue Nov 30 2004 - 14:01:19 PST)
- [sv-cc] Item 49 (fn return result): ready Duncan, Ralph (Tue Nov 30 2004 - 16:04:55 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Stuart Sutherland" <stuart@sutherland-hdl.com>]] Charles Dawson (Tue Nov 30 2004 - 16:20:01 PST)
- [sv-cc] [Fwd: RE: SV-CC meeting tomorrow] Charles Dawson (Tue Nov 30 2004 - 17:02:32 PST)
- [sv-cc] SV-CC Meeting minutes for 11/24/2004 Charles Dawson (Tue Nov 30 2004 - 17:18:31 PST)
- [sv-cc] Revised 265 uploaded Bassam Tabbara (Tue Nov 30 2004 - 18:07:48 PST)
- [sv-cc] Additional proposals added Charles Dawson (Tue Nov 30 2004 - 19:35:30 PST)
- [sv-cc] SV-CC agenda for 12/01/2004 Charles Dawson (Tue Nov 30 2004 - 20:02:33 PST)
- [sv-cc] errata 40 Francoise Martinolle (Tue Nov 30 2004 - 20:30:34 PST)
- [sv-cc] Immediate items that don't have a proposal Charles Dawson (Wed Dec 01 2004 - 06:57:58 PST)
- [sv-cc] Immediate items - my observations and opinions Charles Dawson (Wed Dec 01 2004 - 08:08:20 PST)
- [sv-cc] Errata #63, #158, #330 amended as discussed in today's meeting Michael Rohleder (Wed Dec 01 2004 - 11:03:05 PST)
- [sv-cc] SV-CC Meeting minutes for 12/01/2004 Charles Dawson (Wed Dec 01 2004 - 13:03:33 PST)
- [sv-cc] Issues Spreadsheet Ready for Champions Review Karen Pieper (Thu Dec 02 2004 - 14:47:38 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Steven Sharp <sharp@cadence.com>]] Charles Dawson (Fri Dec 03 2004 - 08:28:32 PST)
- [sv-cc] [Fwd: Issue 50: Action Item] Charles Dawson (Mon Dec 06 2004 - 07:58:05 PST)
- [sv-cc] VPI proposal for types on wires Jim Vellenga (Mon Dec 06 2004 - 12:56:17 PST)
- [sv-cc] RE: [sv-ec] String proposal Warmke, Doug (Mon Dec 06 2004 - 17:26:41 PST)
- [sv-cc] RE: [sv-ec] String proposal Warmke, Doug (Mon Dec 06 2004 - 21:46:25 PST)
- [sv-cc] errata 64 Francoise Martinolle (Tue Dec 07 2004 - 12:28:20 PST)
- [sv-cc] Updated proposal for types on wires Jim Vellenga (Tue Dec 07 2004 - 13:37:26 PST)
- [sv-cc] SV-CC agenda for 12/08/2004 Charles Dawson (Tue Dec 07 2004 - 15:13:34 PST)
- [sv-cc] FW: datatypes on net question Francoise Martinolle (Wed Dec 08 2004 - 10:06:13 PST)
- [sv-cc] [Fwd: [P1800] P1364-2005 Draft 4 available] Charles Dawson (Wed Dec 08 2004 - 10:56:14 PST)
- [sv-cc] RE: Time for sv-cc 50 continuation mtg ? Joao Geada (Wed Dec 08 2004 - 13:02:12 PST)
- [sv-cc] SV-CC Meeting minutes for 12/08/2004 Charles Dawson (Wed Dec 08 2004 - 15:02:25 PST)
- [sv-cc] Joint proposal for SV-CC #50 ready for review Warmke, Doug (Thu Dec 09 2004 - 23:46:19 PST)
- [sv-cc] Yet another updated proposal for types on wires Jim Vellenga (Fri Dec 10 2004 - 10:43:58 PST)
- [sv-cc] Proposals for 54-56 and 332. Charles Dawson (Fri Dec 10 2004 - 11:17:32 PST)
- [sv-cc] Item #50 comments? Warmke, Doug (Tue Dec 14 2004 - 06:27:12 PST)
- [sv-cc] New DPI item, with proposal Jim Vellenga (Mon Dec 13 2004 - 11:49:19 PST)
- [sv-cc] Proposal for Item 053 Charles Dawson (Tue Dec 14 2004 - 14:21:34 PST)
- [sv-cc] SV-CC agenda for 12/15/2004 Charles Dawson (Tue Dec 14 2004 - 14:37:11 PST)
- [sv-cc] dpi_spec_string: replace "DPI"/"DPI-3.1a" with "C"/"DPI" Andrzej I. Litwiniuk (Wed Dec 15 2004 - 07:21:33 PST)
- [sv-cc] New (final) proposal for #333 ... Jim Vellenga (Wed Dec 15 2004 - 10:05:58 PST)
- [sv-cc] Meeting Minutes 12/15/2004 Francoise Martinolle (Wed Dec 15 2004 - 13:40:00 PST)
- [sv-cc] P1800 WG outcome Francoise Martinolle (Wed Dec 15 2004 - 14:06:38 PST)
- [sv-cc] Review of Encryption proposal scheduled for Wed 12/22 at 1500 UTC Steven J. Dovich (Wed Dec 15 2004 - 14:39:00 PST)
- [sv-cc] potential time for meeting today: 12 o'clock (United states east coast time) Francoise Martinolle (Thu Dec 16 2004 - 07:28:59 PST)
- [sv-cc] revised proposal for errata 56 Francoise Martinolle (Thu Dec 16 2004 - 07:41:20 PST)
- [sv-cc] Can we meet at 12 o'clock east coast time today? Francoise Martinolle (Thu Dec 16 2004 - 08:11:10 PST)
- FW: [sv-cc] Meeting Minutes 12/15/2004 with revised attendance Francoise Martinolle (Thu Dec 16 2004 - 08:17:25 PST)
- [sv-cc] Meeting today at 12'oclock east coast time Francoise Martinolle (Thu Dec 16 2004 - 08:32:47 PST)
- [sv-cc] meeting is on. Francoise Martinolle (Thu Dec 16 2004 - 09:07:18 PST)
- [sv-cc] errata 56 Francoise Martinolle (Thu Dec 16 2004 - 12:25:20 PST)
- [sv-cc] Meeting minutes 12/16/04 Francoise Martinolle (Thu Dec 16 2004 - 12:34:31 PST)
- [sv-cc] Issues from the SVDB Karen Pieper (Thu Dec 16 2004 - 15:21:44 PST)
- [sv-cc] Final version of sv-cc #50 is uploaded Warmke, Doug (Thu Dec 16 2004 - 22:04:07 PST)
- [sv-cc] Champions Spreadsheet for the 12/21/04 Meeting Karen Pieper (Fri Dec 17 2004 - 18:24:47 PST)
- [sv-cc] RE: [P1800] Champions Spreadsheet for the 12/21/04 Meeting Warmke, Doug (Fri Dec 17 2004 - 18:33:51 PST)
- [sv-cc] RE: [P1800] Champions Spreadsheet for the 12/21/04 Meeting Karen Pieper (Fri Dec 17 2004 - 18:36:47 PST)
- [sv-cc] RE: [P1800] Champions Spreadsheet for the 12/21/04 Meeting Warmke, Doug (Fri Dec 17 2004 - 19:30:06 PST)
- [sv-cc] Need to meet today or early tomorrow. Charles Dawson (Mon Dec 20 2004 - 08:32:59 PST)
- [sv-cc] Uploaded proposal for errata # 62 Francoise Martinolle (Mon Dec 20 2004 - 10:57:45 PST)
- [sv-cc] Let's try to do an email vote. Charles Dawson (Mon Dec 20 2004 - 11:44:16 PST)
- [sv-cc] uploaded proposal for errata # 77 Francoise Martinolle (Mon Dec 20 2004 - 12:50:47 PST)
- [sv-cc] updloaded draft d2 of errata 77 Francoise Martinolle (Mon Dec 20 2004 - 13:19:19 PST)
- [sv-cc] Proposal now available for Item 053 Charles Dawson (Mon Dec 20 2004 - 13:20:19 PST)
- [sv-cc] email vote [53,62,77,342] Duncan, Ralph (Mon Dec 20 2004 - 13:49:51 PST)
- [sv-cc] questions on SV-CC 303/PTF 342 and SV-CC 313/PTF 296 Shalom.Bresticker@freescale.com (Tue Dec 21 2004 - 01:35:35 PST)
- [sv-cc] Results of our email vote on 053, 062, 077, 342 Charles Dawson (Tue Dec 21 2004 - 09:08:00 PST)
- [sv-cc] item 50 again Francoise Martinolle (Tue Dec 21 2004 - 10:26:19 PST)
- RE: [sv-cc] item 50 again (string name) Duncan, Ralph (Tue Dec 21 2004 - 10:59:57 PST)
- [sv-cc] SV-CC agenda for 12/22/2004 Charles Dawson (Tue Dec 21 2004 - 13:22:29 PST)
- [sv-cc] Agenda for Encryption group meeting - 22-Dec-2004 at 1100 US/Eastern Steven J. Dovich (Tue Dec 21 2004 - 13:49:31 PST)
- [sv-cc] RE: [P1800] Champions Meeting Results and Minutes Warmke, Doug (Tue Dec 21 2004 - 14:26:34 PST)
- [sv-cc] Request for proxy vote: SV-CC 12/22/04 meeting Duncan, Ralph (Tue Dec 21 2004 - 17:21:10 PST)
- [sv-cc] Proxy request fro 12/22 meeting Steven J. Dovich (Wed Dec 22 2004 - 07:58:11 PST)
- [sv-cc] Proposed wording Charles Dawson (Wed Dec 22 2004 - 09:45:56 PST)
- [sv-cc] SV-CC Meeting minutes for 12/22/2004 Charles Dawson (Wed Dec 22 2004 - 10:59:53 PST)
- [sv-cc] Champions meeting 1/4/05 Karen Pieper (Thu Dec 30 2004 - 18:47:58 PST)
- [sv-cc] Results of the Champions meeting on 1/4/05 Karen Pieper (Tue Jan 04 2005 - 11:06:19 PST)
- [sv-cc] SV-CC agenda for 01/05/2005 Charles Dawson (Wed Jan 05 2005 - 07:20:20 PST)
- [sv-cc] SV-CC Meeting minutes for 01/05/2005 Charles Dawson (Wed Jan 05 2005 - 10:48:13 PST)
- [sv-cc] Updated Database Karen Pieper (Wed Jan 05 2005 - 13:59:06 PST)
- [sv-cc] RE: SV 342 Warmke, Doug (Wed Jan 05 2005 - 23:05:28 PST)
- [sv-cc] SV 342 Shalom.Bresticker@freescale.com (Wed Jan 05 2005 - 22:27:19 PST)
- [sv-cc] Two quick proposals from this week's meeting Warmke, Doug (Thu Jan 06 2005 - 17:38:53 PST)
- [sv-cc] SV 292 Shalom Bresticker (Mon Jan 10 2005 - 09:55:43 PST)
- [sv-cc] SV-CC agenda for 01/12/2005 Charles Dawson (Mon Jan 10 2005 - 13:43:41 PST)
- [sv-cc] Re: questions on SV-CC 313/PTF 296 Shalom.Bresticker@freescale.com (Mon Jan 10 2005 - 20:13:06 PST)
- [sv-cc] RE: references, bibliography (fwd) Shalom.Bresticker@freescale.com (Mon Jan 10 2005 - 20:03:58 PST)
- [sv-cc] Re: questions on SV-CC 303/PTF 342 (fwd) Shalom.Bresticker@freescale.com (Mon Jan 10 2005 - 23:27:07 PST)
- [sv-cc] Need clarification on erratum 62 Stuart Sutherland (Tue Jan 11 2005 - 08:17:15 PST)
- [sv-cc] Question on errata 53 Stuart Sutherland (Tue Jan 11 2005 - 20:54:27 PST)
- [sv-cc] Food for thought Charles Dawson (Wed Jan 12 2005 - 08:29:30 PST)
- [sv-cc] encryption & vpi - sv #345 Shalom Bresticker (Thu Jan 13 2005 - 01:07:58 PST)
- [sv-cc] modified sv_vpi_user.h to test Stuart Sutherland (Thu Jan 13 2005 - 02:19:25 PST)
- [sv-cc] Re: encryption & vpi - sv #345 Steven J. Dovich (Thu Jan 13 2005 - 06:47:18 PST)
- [sv-cc] SV-CC Meeting minutes for 01/12/2005 Charles Dawson (Thu Jan 13 2005 - 08:26:40 PST)
- [sv-cc] Schedule and process for reviewing incorporated Items Charles Dawson (Fri Jan 14 2005 - 08:51:14 PST)
- [sv-cc] Testing of sv_vpi_user.h Stuart Sutherland (Fri Jan 14 2005 - 14:39:19 PST)
- [sv-cc] Fixes needed for sv_dpi_user.h Warmke, Doug (Fri Jan 14 2005 - 18:19:16 PST)
- [sv-cc] Re: questions on SV-CC 303/PTF 342 (fwd) Shalom.Bresticker@freescale.com (Sun Jan 16 2005 - 07:21:18 PST)
- [sv-cc] Leaving Intel Srouji, Johny (Mon Jan 17 2005 - 04:24:11 PST)
- [sv-cc] SystemVerilog (P1800) and Verilog (P1364) Draft Documents Available Brophy, Dennis (Mon Jan 17 2005 - 16:41:45 PST)
- [sv-cc] Re: [P1800] SystemVerilog (P1800) and Verilog (P1364) Draft Documents Available Karen Pieper (Mon Jan 17 2005 - 17:04:12 PST)
- [sv-cc] IEEE Site for SystemVerilog & Verilog Drafts Brophy, Dennis (Mon Jan 17 2005 - 17:09:22 PST)
- [sv-cc] Re: questions on SV-CC 313/PTF 296 (fwd) Shalom.Bresticker@freescale.com (Tue Jan 18 2005 - 00:24:23 PST)
- [sv-cc] clause renumbering in 1364 Shalom.Bresticker@freescale.com (Tue Jan 18 2005 - 00:46:46 PST)
- [sv-cc] SV-CC agenda for 01/19/2005 Charles Dawson (Tue Jan 18 2005 - 14:59:39 PST)
- [sv-cc] SystemVerilog Password Request Joao Geada (Tue Jan 18 2005 - 19:37:30 PST)
- [sv-cc] Proposal for SV #353 Warmke, Doug (Wed Jan 19 2005 - 00:17:08 PST)
- [sv-cc] SV-CC Meeting minutes for 01/19/2005 Charles Dawson (Wed Jan 19 2005 - 10:46:24 PST)
- [Fwd: [sv-cc] Schedule and process for reviewing incorporated Items] Charles Dawson (Wed Jan 19 2005 - 13:07:07 PST)
- [sv-cc] Issues found in my review. Charles Dawson (Thu Jan 20 2005 - 09:43:46 PST)
- [sv-cc] RE: [sv-champions] Email vote on items for the 1/26/05 meeting Warmke, Doug (Thu Jan 20 2005 - 15:16:20 PST)
- [sv-cc] FW: [sv-champions] Email vote on items for the 1/26/05 meeting Stuart Sutherland (Fri Jan 21 2005 - 08:02:30 PST)
- [sv-cc] Correction for LRM re: issue #282. Jim Vellenga (Fri Jan 21 2005 - 08:54:39 PST)
- [sv-cc] Correction for 1800 LRM re: issue #284 Jim Vellenga (Fri Jan 21 2005 - 10:09:09 PST)
- [sv-cc] Correction for 1800 LRM re: issue #160 Duncan, Ralph (Fri Jan 21 2005 - 10:30:14 PST)
- [sv-cc] 62, 63, and 301: On 31.10 Variables Jim Vellenga (Fri Jan 21 2005 - 11:24:04 PST)
- [sv-cc] Reopened Issue #333 (VPI for Nets) Jim Vellenga (Fri Jan 21 2005 - 12:22:43 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Neil Korpusik <Neil.Korpusik@Sun.COM>]] Charles Dawson (Fri Jan 21 2005 - 13:01:56 PST)
- [sv-cc] errata 80 Francoise Martinolle (Fri Jan 21 2005 - 14:41:16 PST)
- [sv-cc] SV LRM review issues Warmke, Doug (Sun Jan 23 2005 - 10:23:19 PST)
- [sv-cc] Changes reviewed, issues closed Jim Vellenga (Mon Jan 24 2005 - 07:37:28 PST)
- [sv-cc] RE: SV LRM review issues Warmke, Doug (Mon Jan 24 2005 - 16:27:12 PST)
- [sv-cc] SV LRM Review: 277, and 265 Bassam Tabbara (Tue Jan 25 2005 - 16:26:30 PST)
- [sv-cc] RE: SV LRM Review: 277, and 265 Bassam Tabbara (Tue Jan 25 2005 - 16:27:10 PST)
- [sv-cc] RE: SV LRM Review: 277, and 265 Warmke, Doug (Tue Jan 25 2005 - 17:01:14 PST)
- [sv-cc] SV-CC agenda for 01/26/2005 Charles Dawson (Wed Jan 26 2005 - 07:30:23 PST)
- [sv-cc] SV LRM Review 158, 72, 330 et al. Michael Rohleder (Wed Jan 26 2005 - 08:49:01 PST)
- [sv-cc] SV-CC Meeting minutes for 01/26/2005 Charles Dawson (Wed Jan 26 2005 - 10:49:56 PST)
- [sv-cc] Text error in Annex E. Krishna Garlapati (Wed Jan 26 2005 - 16:11:26 PST)
- [sv-cc] vpi_user.h Shalom.Bresticker@freescale.com (Fri Jan 28 2005 - 02:32:27 PST)
- [sv-cc] SV-CC agenda for 02/02/2005 - please note the time change! Charles Dawson (Tue Feb 01 2005 - 06:41:35 PST)
- [sv-cc] [Fwd: vpi_user.h] Shalom Bresticker (Tue Feb 01 2005 - 06:57:19 PST)
- [sv-cc] Part Select Utilities: Semantic Clarification Duncan, Ralph (Tue Feb 01 2005 - 19:33:00 PST)
- [sv-cc] Part Select Utilities: Zeroing and Width parameter Duncan, Ralph (Wed Feb 02 2005 - 08:54:57 PST)
- [sv-cc] SV-CC Meeting minutes for 02/02/2005 Charles Dawson (Wed Feb 02 2005 - 11:26:28 PST)
- [sv-cc] vpi_user.h: PLI error information structure Shalom.Bresticker@freescale.com (Thu Feb 03 2005 - 01:01:48 PST)
- [sv-cc] concatenations and structure/array constructors Francoise Martinolle (Thu Feb 03 2005 - 07:12:42 PST)
- [sv-cc] SV-CC agenda for 02/09/2005 Charles Dawson (Wed Feb 09 2005 - 07:20:34 PST)
- [sv-cc] SV-CC Meeting minutes for 02/09/2005 Charles Dawson (Wed Feb 09 2005 - 10:00:27 PST)
- [sv-cc] proposal for errata 373 has been uploaded Francoise Martinolle (Wed Feb 09 2005 - 19:46:16 PST)
- [sv-cc] errata 373 Francoise Martinolle (Thu Feb 10 2005 - 07:49:22 PST)
- [sv-cc] uploaded a new version for errata 373 Francoise Martinolle (Thu Feb 10 2005 - 09:06:05 PST)
- [sv-cc] err373-3.pdf and err373-3.fm uploaded Francoise Martinolle (Thu Feb 10 2005 - 13:31:20 PST)
- [sv-cc] err 373 Francoise Martinolle (Thu Feb 10 2005 - 13:31:52 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Stuart Sutherland" <stuart@sutherland.com>]] Charles Dawson (Fri Feb 11 2005 - 07:00:04 PST)
- [sv-cc] Closed three issues ... Jim Vellenga (Tue Feb 15 2005 - 10:52:55 PST)
- [sv-cc] Issue # 338 Jim Vellenga (Tue Feb 15 2005 - 12:33:59 PST)
- [sv-cc] Issue #337: Informative Table 32-1 Jim Vellenga (Tue Feb 15 2005 - 12:37:35 PST)
- [sv-cc] errata 373 Francoise Martinolle (Wed Feb 16 2005 - 09:12:23 PST)
- [sv-cc] New Mantis item #385 added Warmke, Doug (Fri Feb 18 2005 - 15:49:53 PST)
- [sv-cc] Item 160 (Fix fine but one other nit) Duncan, Ralph (Fri Feb 18 2005 - 16:29:42 PST)
- [sv-cc] Item 160 cross-reference & item 386 Duncan, Ralph (Fri Feb 18 2005 - 16:57:54 PST)
- [sv-cc] SV-CC agenda for 02/23/2005 Charles Dawson (Tue Feb 22 2005 - 10:03:10 PST)
- [sv-cc] [Fwd: Please Read: Schedule for responding to balloting feedback] Charles Dawson (Wed Feb 23 2005 - 09:37:02 PST)
- [sv-cc] errata PTF 524 Francoise Martinolle (Wed Feb 23 2005 - 09:55:44 PST)
- [sv-cc] SV-CC Meeting minutes for 02/23/2005 Charles Dawson (Wed Feb 23 2005 - 10:17:26 PST)
- [sv-cc] Questions on our activities during the ballot period. Charles Dawson (Wed Feb 23 2005 - 10:22:56 PST)
- [sv-cc] Re: [P1800] Questions on our activities during the ballot period. Shalom.Bresticker_at_..... (Wed Feb 23 2005 - 11:27:40 PST)
- [sv-cc] [Fwd: Re: [P1800] Questions on our activities during the ballot period.] Charles Dawson (Wed Feb 23 2005 - 11:47:37 PST)
- [sv-cc] Issues no longer at issue Jim Vellenga (Wed Feb 23 2005 - 12:49:14 PST)
- [sv-cc] Re: [P1800] Questions on our activities during the ballot period. Johny Srouji (Wed Feb 23 2005 - 16:14:41 PST)
- [sv-cc] Re: [P1800] Questions on our activities during the ballot period. Shalom.Bresticker_at_..... (Wed Feb 23 2005 - 19:45:28 PST)
- [sv-cc] SV-CC meeting on 3/2/2005 CANCELED Charles Dawson (Tue Mar 01 2005 - 13:51:46 PST)
- [sv-cc] Face-to-face meeting? Charles Dawson (Fri Mar 04 2005 - 12:33:28 PST)
- [sv-cc] SV-CC committee face to face meeting on April 6, 2005 Charles Dawson (Fri Mar 18 2005 - 13:40:51 PST)
- [sv-cc] Need your schedule to plan upcoming meetings Charles Dawson (Wed Mar 23 2005 - 10:37:08 PST)
- [sv-cc] SV-CC meetings Charles Dawson (Mon Mar 28 2005 - 11:14:05 PST)
- [Fwd: RE: [sv-cc] SV-CC meetings] Charles Dawson (Tue Mar 29 2005 - 06:07:11 PST)
- [sv-cc] Handling trivial issues Charles Dawson (Thu Mar 31 2005 - 11:03:49 PST)
- [sv-cc] Assignment of minor SV-CC issues Charles Dawson (Thu Mar 31 2005 - 11:49:51 PST)
- [sv-cc] SV-CC agenda for 04/05/2005 Charles Dawson (Thu Mar 31 2005 - 14:21:42 PST)
- [sv-cc] Partial proposals - Issue #266 - Negative vote from Entity #6 Clifford E. Cummings (Sun Apr 03 2005 - 22:58:36 PDT)
- [sv-cc] [Fwd: Voting Rights in the Committees] Charles Dawson (Tue Apr 05 2005 - 14:17:48 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/05/2005 Charles Dawson (Tue Apr 05 2005 - 14:18:58 PDT)
- RE: [SPAM] - RE: [sv-cc] Handling trivial issues - Bayesian Filter detected spam Bassam Tabbara (Tue Apr 05 2005 - 14:33:23 PDT)
- [sv-cc] Mantis Item #536 ready for review Warmke, Doug (Tue Apr 05 2005 - 17:15:06 PDT)
- [sv-cc] Proposals added for #422, #423, and #427 Michael Rohleder (Wed Apr 06 2005 - 04:12:11 PDT)
- [sv-cc] Proposal for #431 uploaded Michael Rohleder (Wed Apr 06 2005 - 04:42:34 PDT)
- RE: [SPAM] - [sv-cc] Proposals added for #422, #423, and #427 - Email found in subject Bassam Tabbara (Wed Apr 06 2005 - 11:08:42 PDT)
- RE: [SPAM] - [sv-cc] Proposal for #431 uploaded - Email found in subject Bassam Tabbara (Wed Apr 06 2005 - 11:31:52 PDT)
- Re: [SPAM] - [sv-cc] Proposal for #431 uploaded - Email found in subject Charles Dawson (Wed Apr 06 2005 - 11:44:38 PDT)
- [sv-cc] Proposal for Issue #469 Jim Vellenga (Wed Apr 06 2005 - 13:05:46 PDT)
- [sv-cc] Proposals added to 432, 434, 440, 497, 585, 586, 599, 600, 605 Bassam Tabbara (Wed Apr 06 2005 - 15:48:29 PDT)
- [sv-cc] Issue 468 Jim Vellenga (Thu Apr 07 2005 - 06:23:22 PDT)
- Re: [SPAM] - [sv-cc] Proposals added for #422, #423, and #427 - Email found in subject Michael Rohleder (Thu Apr 07 2005 - 06:40:43 PDT)
- [sv-cc] Issue 467: 32.21 extend or extends? Jim Vellenga (Thu Apr 07 2005 - 06:44:37 PDT)
- [sv-cc] Proposal for #426 uploaded Michael Rohleder (Thu Apr 07 2005 - 07:50:14 PDT)
- [sv-cc] More uploads ... Jim Vellenga (Thu Apr 07 2005 - 07:57:06 PDT)
- [sv-cc] Proposals for 494, 495, and 531 Jim Garnett (Thu Apr 07 2005 - 11:26:31 PDT)
- [sv-cc] On TRUE/true and FALSE/false Jim Vellenga (Thu Apr 07 2005 - 12:21:07 PDT)
- [sv-cc] Proposal for 526: vpiValid for dynamic variables Jim Vellenga (Thu Apr 07 2005 - 13:43:56 PDT)
- [sv-cc] SV-CC agenda for 04/08/2005 Charles Dawson (Thu Apr 07 2005 - 14:02:48 PDT)
- [sv-cc] More trivial items Charles Dawson (Thu Apr 07 2005 - 15:24:55 PDT)
- [sv-cc] class defn, class var diagrams etc... Francoise Martinolle (Thu Apr 07 2005 - 22:31:57 PDT)
- [sv-cc] Proposal for 473: Notes on class object definition Jim Vellenga (Fri Apr 08 2005 - 07:47:02 PDT)
- [sv-cc] uploaded proposal for errata 489 Francoise Martinolle (Fri Apr 08 2005 - 17:11:56 PDT)
- [sv-cc] ref obj: more clarifications and issues Francoise Martinolle (Fri Apr 08 2005 - 17:53:33 PDT)
- [sv-cc] err 484 Francoise Martinolle (Fri Apr 08 2005 - 18:06:25 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/08/2005 Charles Dawson (Fri Apr 08 2005 - 18:14:59 PDT)
- [sv-cc] err 465 Francoise Martinolle (Fri Apr 08 2005 - 18:44:55 PDT)
- [sv-cc] err 464 Francoise Martinolle (Fri Apr 08 2005 - 18:56:56 PDT)
- [sv-cc] Issue #266 - Negative vote from Entity #6 - Version #2 Clifford E. Cummings (Mon Apr 11 2005 - 00:18:31 PDT)
- [sv-cc] SV-CC agenda for 04/11/2005 Charles Dawson (Mon Apr 11 2005 - 06:39:05 PDT)
- [sv-cc] Proposal uploaded for handling IP Encryption Steven J. Dovich (Mon Apr 11 2005 - 09:10:04 PDT)
- [sv-cc] errata 457 Francoise Martinolle (Mon Apr 11 2005 - 13:55:04 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/11/2005 Charles Dawson (Mon Apr 11 2005 - 14:13:57 PDT)
- [sv-cc] Availablility for a meeting at the end of this week/early next week Charles Dawson (Mon Apr 11 2005 - 14:19:19 PDT)
- [sv-cc] champions meeting and more to do Francoise Martinolle (Tue Apr 12 2005 - 04:42:33 PDT)
- [sv-cc] vpiParent and ref objs Jim Vellenga (Tue Apr 12 2005 - 05:55:01 PDT)
- [sv-cc] Definition of ref obj: 455 and 489 Jim Vellenga (Tue Apr 12 2005 - 06:02:21 PDT)
- [sv-cc] New proposal for 533 Jim Vellenga (Tue Apr 12 2005 - 07:09:46 PDT)
- [sv-cc] Yet another upload for 533 Jim Vellenga (Tue Apr 12 2005 - 07:47:28 PDT)
- [sv-cc] Test message - disregard Warmke, Doug (Tue Apr 12 2005 - 09:56:21 PDT)
- [sv-cc] 'Does anyone remember what vpiInterfaceTask means? Jim Vellenga (Tue Apr 12 2005 - 12:11:14 PDT)
- [sv-cc] var bit ? Francoise Martinolle (Wed Apr 13 2005 - 10:01:51 PDT)
- [sv-cc] parameter diagram Francoise Martinolle (Wed Apr 13 2005 - 10:02:43 PDT)
- [sv-cc] Updated proposal for 610 Jim Vellenga (Wed Apr 13 2005 - 10:33:55 PDT)
- [sv-cc] Uploaded a proposal for Issue 534. Jim Vellenga (Wed Apr 13 2005 - 10:37:51 PDT)
- [sv-cc] Issue 352 has a proposal Jim Vellenga (Wed Apr 13 2005 - 10:46:29 PDT)
- [sv-cc] Next SV-CC meeting Charles Dawson (Wed Apr 13 2005 - 13:44:32 PDT)
- [sv-cc] proposal for 489 (ref obj fixes) uploaded Francoise Martinolle (Wed Apr 13 2005 - 20:31:14 PDT)
- [sv-cc] uploaded proposals for 465 and 528 Francoise Martinolle (Wed Apr 13 2005 - 21:07:43 PDT)
- [sv-cc] Comments on: Proposal uploaded for handling IP Encryption Jim Vellenga (Thu Apr 14 2005 - 06:53:29 PDT)
- [sv-cc] proposal for #482 revised Sachchidananda Patel (Thu Apr 14 2005 - 11:30:30 PDT)
- [sv-cc] Definition of full name in 1364 Charles Dawson (Thu Apr 14 2005 - 12:39:43 PDT)
- [Fwd: Re: [sv-cc] Definition of full name in 1364] Charles Dawson (Thu Apr 14 2005 - 12:56:06 PDT)
- [sv-cc] proposal for item# 606 uploaded Sachchidananda Patel (Thu Apr 14 2005 - 13:27:20 PDT)
- [sv-cc] uploaded proposal for 604 Duncan, Ralph (Thu Apr 14 2005 - 14:38:34 PDT)
- [sv-cc] Proposal for Item 372. Charles Dawson (Thu Apr 14 2005 - 14:48:51 PDT)
- [sv-cc] SV-CC agenda for 04/15/2005 Charles Dawson (Thu Apr 14 2005 - 15:19:02 PDT)
- [sv-cc] Proposal uploaded for 487 Duncan, Ralph (Thu Apr 14 2005 - 16:03:57 PDT)
- [sv-cc] Assertion Control, proposal added to mantis #431 Bassam Tabbara (Thu Apr 14 2005 - 21:44:24 PDT)
- [sv-cc] I entered mantis item 672 for %m enhancements Francoise Martinolle (Fri Apr 15 2005 - 07:40:26 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/15/2005 Charles Dawson (Fri Apr 15 2005 - 12:52:39 PDT)
- [sv-cc] SV-CC agenda for 04/20/2005 Charles Dawson (Mon Apr 18 2005 - 11:20:11 PDT)
- [sv-cc] Issue #266 - Negative vote from Entity #6 - Version #3 Clifford E. Cummings (Mon Apr 18 2005 - 15:26:18 PDT)
- [sv-cc] Assertion "and" and "or" operators in VPI Jim Vellenga (Tue Apr 19 2005 - 06:18:44 PDT)
- [sv-cc] RE: Assertion "and" and "or" operators in VPI Bassam Tabbara (Tue Apr 19 2005 - 08:36:31 PDT)
- [sv-cc] Schedule extension Charles Dawson (Tue Apr 19 2005 - 12:21:45 PDT)
- [sv-cc] Item 526 (vpiValid): issues and concerns Duncan, Ralph (Tue Apr 19 2005 - 16:59:08 PDT)
- [sv-cc] user data Francoise Martinolle (Wed Apr 20 2005 - 10:13:54 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/20/2005 Charles Dawson (Wed Apr 20 2005 - 14:53:56 PDT)
- [sv-cc] 29 - uses the term "attempt" Charles Dawson (Thu Apr 21 2005 - 10:10:52 PDT)
- [Fwd: RE: [sv-cc] 29 - uses the term "attempt"] Charles Dawson (Thu Apr 21 2005 - 10:52:45 PDT)
- [sv-cc] Next SV-CC meeting Charles Dawson (Tue Apr 26 2005 - 07:40:23 PDT)
- [sv-cc] SV-CC agenda for 04/28/2005 Charles Dawson (Wed Apr 27 2005 - 09:31:26 PDT)
- [sv-cc] uploaded proposal for 489 (refobj ) Francoise Martinolle (Wed Apr 27 2005 - 09:42:07 PDT)
- [sv-cc] New paired proposal for 526 Jim Vellenga (Wed Apr 27 2005 - 13:40:43 PDT)
- [sv-cc] uploaded proposals for 465 and 528 Francoise Martinolle (Wed Apr 27 2005 - 20:21:55 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/27/2005 Charles Dawson (Thu Apr 28 2005 - 14:11:56 PDT)
- [sv-cc] mantis : 606 Tapati Basu (Mon May 02 2005 - 17:10:06 PDT)
- Re: [sv-cc] mantis : 496 Tapati Basu (Mon May 02 2005 - 17:36:18 PDT)
- [sv-cc] IEEE P1800 Draft 5 Preliminary Version #1 LRM Available Brophy, Dennis (Mon May 02 2005 - 21:23:23 PDT)
- [sv-cc] errata 465 new proposal uploaded. Francoise Martinolle (Tue May 03 2005 - 08:54:54 PDT)
- [sv-cc] uploaded new proposal for 528 Francoise Martinolle (Tue May 03 2005 - 09:13:04 PDT)
- [sv-cc] Issue #266 - Rev 5 Clifford E. Cummings (Tue May 03 2005 - 09:29:10 PDT)
- [sv-cc] uploaded proposal for 489 with fixes from previous meeting Francoise Martinolle (Tue May 03 2005 - 09:37:18 PDT)
- [sv-cc] uploaded proposal for 547 Francoise Martinolle (Tue May 03 2005 - 10:02:51 PDT)
- [sv-cc] errata 458 Francoise Martinolle (Tue May 03 2005 - 10:07:46 PDT)
- [sv-cc] added clarification bug note to 451 Francoise Martinolle (Tue May 03 2005 - 10:52:04 PDT)
- [sv-cc] added a bugnote to 450 Francoise Martinolle (Tue May 03 2005 - 11:00:26 PDT)
- [sv-cc] SV-CC agenda for 05/04/2005 Charles Dawson (Tue May 03 2005 - 12:59:32 PDT)
- [sv-cc] P1364 meeting minutes and email ballot Fitzpatrick, Tom (Tue May 03 2005 - 14:53:59 PDT)
- [sv-cc] Proposal for 487 uploaded Duncan, Ralph (Tue May 03 2005 - 18:01:20 PDT)
- [sv-cc] FW: Proposal 528 does not agree with 473 -- please fix! Jim Vellenga (Wed May 04 2005 - 09:45:19 PDT)
- [sv-cc] uploaded 528 proposal with Jim suggestions for note 3 Francoise Martinolle (Wed May 04 2005 - 10:03:16 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [John Havlicek <john.havlicek@freescale.com>]] Charles Dawson (Wed May 04 2005 - 11:33:30 PDT)
- [sv-cc] SV-CC Meeting minutes for 05/04/2005 Charles Dawson (Wed May 04 2005 - 13:25:43 PDT)
- [sv-cc] SV-CC agenda for 05/06/2005 Charles Dawson (Wed May 04 2005 - 14:27:52 PDT)
- [sv-cc] Issue #266 - Proposal Version 6 Clifford E. Cummings (Wed May 04 2005 - 16:45:15 PDT)
- [sv-cc] Mantis #658 (1364 Notes proposal) updated Fitzpatrick, Tom (Thu May 05 2005 - 06:53:07 PDT)
- [sv-cc] Uploaded proposal for 686 Jim Garnett (Thu May 05 2005 - 15:53:59 PDT)
- [sv-cc] Proposals uploaded Warmke, Doug (Thu May 05 2005 - 22:16:53 PDT)
- [sv-cc] Proposal for Mantis 345 has been updated Steven J. Dovich (Thu May 05 2005 - 15:41:39 PDT)
- [sv-cc] New proposal for 526 Jim Vellenga (Fri May 06 2005 - 08:19:33 PDT)
- [sv-cc] 1364 Meeting now Fitzpatrick, Tom (Fri May 06 2005 - 09:06:58 PDT)
- [sv-cc] Proposal uploaded for 721: randc and init vlaues for type members Jim Vellenga (Fri May 06 2005 - 11:33:30 PDT)
- [sv-cc] Draft 5 Review Duncan, Ralph (Fri May 06 2005 - 15:00:35 PDT)
- [sv-cc] Added [SystemVerilog Errata 0000726]: Add vpiAssertion object type into sv_vpi_user.h Bassam Tabbara (Fri May 06 2005 - 19:24:21 PDT)
- [sv-cc] Created 727 for the constraint obj of 32.23 Bassam Tabbara (Fri May 06 2005 - 19:32:51 PDT)
- [sv-cc] SV-CC Meeting minutes for 05/06/2005 Charles Dawson (Sat May 07 2005 - 13:04:47 PDT)
- [sv-cc] Item 536 and a general request Charles Dawson (Sat May 07 2005 - 14:16:42 PDT)
- [sv-cc] Last 2 email votes for 1364 Fitzpatrick, Tom (Sun May 08 2005 - 06:54:58 PDT)
- [sv-cc] Re: Last 2 email votes for 1364 Clifford E. Cummings (Sun May 08 2005 - 11:05:55 PDT)
- [sv-cc] Cliff's Config Proposal #4 (simplification) Clifford E. Cummings (Sun May 08 2005 - 11:08:48 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Neil Korpusik <Neil.Korpusik@Sun.COM>]] Charles Dawson (Mon May 09 2005 - 05:51:32 PDT)
- [sv-cc] SV-CC agenda for 05/10/2005 Charles Dawson (Mon May 09 2005 - 07:24:44 PDT)
- [sv-cc] Is there a CC meeting today? Stuart Sutherland (Mon May 09 2005 - 07:30:31 PDT)
- [sv-cc] I added a bugnote to 480 Francoise Martinolle (Mon May 09 2005 - 10:36:39 PDT)
- [sv-cc] I added a bugnote for my analysis of 706 Francoise Martinolle (Mon May 09 2005 - 10:53:04 PDT)
- [sv-cc] A couple of comments on 345 Jim Vellenga (Mon May 09 2005 - 12:35:04 PDT)
- [sv-cc] Re: A couple of comments on 345 Steven J. Dovich (Mon May 09 2005 - 13:37:53 PDT)
- [sv-cc] 606 and 496 Tapati Basu (Mon May 09 2005 - 13:39:08 PDT)
- [sv-cc] Special 1364 Meeting to resolve Issue 680 (Configs) Fitzpatrick, Tom (Mon May 09 2005 - 13:43:55 PDT)
- [sv-cc] Typespec diagram : Section 32.17 Tapati Basu (Mon May 09 2005 - 14:06:04 PDT)
- [sv-cc] item 729 Francoise Martinolle (Mon May 09 2005 - 14:39:46 PDT)
- [sv-cc] RE: Special 1364 Meeting to resolve Issue 680 (Configs) Stuart Sutherland (Mon May 09 2005 - 14:46:16 PDT)
- [sv-cc] Latest set of Mantis Items with Proposals Charles Dawson (Mon May 09 2005 - 20:20:12 PDT)
- [sv-cc] RE: Typespec diagram : Section 32.17 Jim Vellenga (Tue May 10 2005 - 06:41:29 PDT)
- [sv-cc] uploaded proposal for 719 Francoise Martinolle (Tue May 10 2005 - 07:36:12 PDT)
- [sv-cc] EC issues to be reviewed by the CC committee Francoise Martinolle (Tue May 10 2005 - 08:46:49 PDT)
- [sv-cc] Re: Special 1364 Meeting to resolve Issue 680 (Configs) Clifford E. Cummings (Tue May 10 2005 - 09:31:00 PDT)
- [sv-cc] New Mantis item 733 -- complete with proposal Jim Vellenga (Tue May 10 2005 - 11:03:25 PDT)
- [sv-cc] Process change for ballot editing issues Karen Pieper (Tue May 10 2005 - 11:39:12 PDT)
- [sv-cc] 1364 Minutes - Mantis 680 Resolved Fitzpatrick, Tom (Tue May 10 2005 - 12:17:25 PDT)
- [sv-cc] RE: 1364 Minutes - Mantis 680 Resolved Fitzpatrick, Tom (Tue May 10 2005 - 13:31:39 PDT)
- [sv-cc] SV-CC Meeting minutes for 05/10/2005 Charles Dawson (Tue May 10 2005 - 13:41:57 PDT)
- [sv-cc] Email ballot on Item 734 Charles Dawson (Tue May 10 2005 - 14:55:01 PDT)
- [sv-cc] Feedback to the Champions committee. Charles Dawson (Tue May 10 2005 - 14:56:24 PDT)
- [sv-cc] Please see errata 734 Francoise Martinolle (Wed May 11 2005 - 08:55:25 PDT)
- [sv-cc] item 447 Francoise Martinolle (Wed May 11 2005 - 10:43:30 PDT)
- [sv-cc] Ballot review changes to Encryption clause Steven J. Dovich (Wed May 11 2005 - 11:33:49 PDT)
- [sv-cc] Re: 1364 Minutes - Mantis 680 Resolved Shalom.Bresticker_at_..... (Sun May 15 2005 - 07:58:38 PDT)
- [sv-cc] [Fwd: P1800 instructions for editor - mantis 734, 709, 711] Charles Dawson (Tue May 17 2005 - 12:09:00 PDT)
- [sv-cc] SV-CC agenda for 05/18/2005 Charles Dawson (Tue May 17 2005 - 12:10:54 PDT)
- [sv-cc] [Fwd: P1800 instructions for editor - mantis 734, 709, 711 and 712] Charles Dawson (Tue May 17 2005 - 18:55:35 PDT)
- [sv-cc] SV-CC Meeting minutes for 05/18/2005 Charles Dawson (Wed May 18 2005 - 11:05:27 PDT)
- [sv-cc] [Fwd: Re: Collecting information for the Balloting spreadsheets] Charles Dawson (Wed May 18 2005 - 09:11:19 PDT)
- [sv-cc] [Fwd: Summary of the 5/20/05 Meeting] Charles Dawson (Mon May 23 2005 - 05:54:01 PDT)
- [sv-cc] IEEE P1800 Draft 5 Preliminary Version #2 LRM is Available Johny Srouji (Wed May 25 2005 - 16:49:48 PDT)
- [sv-cc] Issue 529 now a duplicate Jim Vellenga (Fri May 27 2005 - 05:48:28 PDT)
- [sv-cc] P1364 Draft 7 (preliminary) available for review Fitzpatrick, Tom (Fri May 27 2005 - 13:25:23 PDT)
- [sv-cc] vpiReg and vpiLogic Tapati Basu (Fri May 27 2005 - 14:30:27 PDT)
- [sv-cc] Files are uploaded Brophy, Dennis (Tue May 31 2005 - 15:41:20 PDT)
- [sv-cc] Ballot response package posted Karen Pieper (Wed Jun 01 2005 - 06:30:22 PDT)
- [sv-cc] [Fwd: P1800/draft5 sv_vpi_user.h file] Charles Dawson (Wed Jun 01 2005 - 08:48:42 PDT)
- [sv-cc] FW: Final (I hope) P1800/D5 recirculation ballot draft Brophy, Dennis (Wed Jun 01 2005 - 10:17:45 PDT)
- Re: [POSSIBLE VIRUS:###] [sv-cc] [Fwd: P1800/draft5 sv_vpi_user.h file] Tapati Basu (Wed Jun 01 2005 - 10:57:02 PDT)
- RE: [POSSIBLE VIRUS:###] [sv-cc] [Fwd: P1800/draft5 sv_vpi_user.h file] Warmke, Doug (Wed Jun 01 2005 - 20:19:54 PDT)
- [sv-cc] FW: Final P1364/D7 for recirculation ballot Brophy, Dennis (Wed Jun 01 2005 - 22:57:37 PDT)
- [sv-cc] [Fwd: LRM review through Friday Midnight] Charles Dawson (Thu Jun 02 2005 - 13:48:00 PDT)
- [sv-cc] Problems found in sv_vpi_user.h file Charles Dawson (Thu Jun 02 2005 - 14:50:30 PDT)
- [sv-cc] Need more explicit change instructions for Mantis 265 Stuart Sutherland (Mon Jun 06 2005 - 19:05:01 PDT)
- [sv-cc] Please confirm fix for bug note in Mantis 528 Stuart Sutherland (Tue Jun 07 2005 - 15:09:09 PDT)
- [sv-cc] Final IEEE P1800 & P1364 Drafts Brophy, Dennis (Wed Jun 08 2005 - 05:53:07 PDT)
- [sv-cc] RE: Final IEEE P1800 & P1364 Drafts Brophy, Dennis (Wed Jun 08 2005 - 09:10:59 PDT)
- [sv-cc] Error in VPI properties specified for DPI support Jim Vellenga (Wed Jun 15 2005 - 08:42:02 PDT)
- [sv-cc] [Fwd:--== FDL 2005 - Call for participation ==--] Swapnajit Mittra (Thu Jun 30 2005 - 08:19:11 PDT)
- [sv-cc] Reballot feedback Karen Pieper (Tue Jul 05 2005 - 11:47:22 PDT)
- [sv-cc] Update to Scheduling proposal for reballot issue Karen Pieper (Wed Jul 06 2005 - 17:30:01 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Gordon Vreugdenhil <gordonv@model.com>]] Charles Dawson (Thu Jul 07 2005 - 12:47:13 PDT)
- [sv-cc] Fwd: Update to Scheduling proposal for reballot issue Karen Pieper (Thu Jul 07 2005 - 16:15:17 PDT)
- [sv-cc] Champions Meeting Minutes Posted Karen Pieper (Fri Jul 08 2005 - 11:51:33 PDT)
- [sv-cc] Coverpoint bins & functional coverage Clifford E. Cummings (Fri Jul 08 2005 - 22:53:53 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Neil Korpusik <Neil.Korpusik@Sun.COM>]] Charles Dawson (Mon Jul 11 2005 - 11:44:09 PDT)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [Dave Scott - MTI south <dscott@model.com>]] Charles Dawson (Tue Jul 12 2005 - 11:50:33 PDT)
- [sv-cc] Preliminary drafts of P1364/D8 and P1800/D6 for review Johny Srouji (Thu Jul 14 2005 - 09:43:17 PDT)
- [sv-cc] [Fwd: Errata committees for the P1800 and the P1364] Charles Dawson (Mon Oct 17 2005 - 13:58:08 PDT)
- [sv-cc] SV-CC agenda for 10/26/2005 Charles Dawson (Wed Oct 26 2005 - 07:14:02 PDT)
- [sv-cc] SV-CC Meeting minutes for 10/26/2005 Charles Dawson (Wed Oct 26 2005 - 12:25:27 PDT)
- [sv-cc] How to work with Mantis Charles Dawson (Wed Oct 26 2005 - 13:02:46 PDT)
- [sv-cc] Assigning Mantis Items Charles Dawson (Wed Oct 26 2005 - 13:42:39 PDT)
- [sv-cc] P1800 and P1364 were approved by RevCom Johny Srouji (Fri Oct 28 2005 - 12:10:49 PDT)
- [sv-cc] Status of some issues Jim Vellenga (Tue Nov 08 2005 - 07:39:09 PST)
- [sv-cc] Proposal for 736 Jim Vellenga (Tue Nov 08 2005 - 08:00:04 PST)
- [sv-cc] Another proposal Jim Vellenga (Tue Nov 08 2005 - 08:55:29 PST)
- [sv-cc] Fw: P1800 Approval Notification Johny Srouji (Tue Nov 08 2005 - 15:35:20 PST)
- [sv-cc] "post-observed" region in systemverilog Nasim Hussain (Tue Nov 08 2005 - 15:41:27 PST)
- [sv-cc] RE: [P1800] Fw: P1800 Approval Notification Bresticker, Shalom (Tue Nov 08 2005 - 23:26:31 PST)
- [sv-cc] SV-CC agenda for 11/08/2005 Charles Dawson (Wed Nov 09 2005 - 06:44:14 PST)
- Re: [P1800] Re: [sv-cc] Fw: P1800 Approval Notification Johny Srouji (Wed Nov 09 2005 - 07:26:39 PST)
- Re: [sv-cc] SV-CC agenda for 11/09/2005 Charles Dawson (Wed Nov 09 2005 - 07:56:25 PST)
- [sv-cc] SV-CC new business: add vpiChandleVar to sv_vpi_user.h Andrzej I. Litwiniuk (Wed Nov 09 2005 - 09:11:56 PST)
- [sv-cc] SystemVerilog Draft6 and Verilog Draft7 are available for download Johny Srouji (Wed Nov 09 2005 - 17:16:12 PST)
- [sv-cc] RE: [sv-ac] SystemVerilog Draft6 and Verilog Draft7 are available for download Bresticker, Shalom (Wed Nov 09 2005 - 23:42:54 PST)
- [sv-cc] SV-CC Meeting minutes for 11/09/2005 Charles Dawson (Fri Nov 11 2005 - 14:11:02 PST)
- [sv-cc] Mantis item 45 can be considered fixed. Jim Vellenga (Mon Nov 21 2005 - 13:57:17 PST)
- [sv-cc] Mantis item 985 Jim Vellenga (Wed Nov 23 2005 - 13:42:37 PST)
- [sv-cc] SV-CC agenda for 11/30/2005 Charlie Dawson (Wed Nov 30 2005 - 06:51:50 PST)
- [sv-cc] IEEE Std. 1800-2005 Available for Download Brophy, Dennis (Thu Dec 01 2005 - 13:02:21 PST)
- [sv-cc] IEEE Std. 1800-2005 Available for Download Brophy, Dennis (Thu Dec 01 2005 - 14:07:34 PST)
- [sv-cc] IEEE Std. 1800-2005 Available for Purchase Brophy, Dennis (Fri Dec 02 2005 - 06:38:53 PST)
- [sv-cc] SV-CC Meeting minutes for 11/30/2005 Charles Dawson (Wed Dec 07 2005 - 06:12:56 PST)
- [sv-cc] SV-CC agenda for 12/07/2005 Charles Dawson (Wed Dec 07 2005 - 06:15:04 PST)
- [sv-cc] New version of #736 proposal uploaded Warmke, Doug (Sun Dec 11 2005 - 13:21:09 PST)
- [sv-cc] FW: IEEE Std. 1800-2005 Available for Purchase Brophy, Dennis (Wed Dec 28 2005 - 13:26:41 PST)
- [sv-cc] SV-CC meeting for today is canceled. Charlie Dawson (Wed Jan 04 2006 - 07:57:57 PST)
- [sv-cc] SV-CC Meeting minutes for 12/07/2005 Charles Dawson (Tue Jan 17 2006 - 21:09:55 PST)
- [sv-cc] [Fwd: FW: FW: [sv-bc] Open array mixed with normal array] Charles Dawson (Tue Jan 17 2006 - 21:27:48 PST)
- [sv-cc] SV-CC agenda for 01/18/2005 Charles Dawson (Tue Jan 17 2006 - 21:29:50 PST)
- RE: [sv-cc] [Fwd: [sv-bc] Open array mixed with normal array] Andrzej I. Litwiniuk (Wed Jan 18 2006 - 06:43:43 PST)
- [sv-cc] New Mantis item, new proposal Jim Vellenga (Wed Jan 18 2006 - 10:30:40 PST)
- [sv-cc] SV-CC Meeting minutes for 01/18/2006 Charles Dawson (Wed Jan 18 2006 - 11:52:56 PST)
- [sv-cc] another errata on dynamic arrays: 662 francoise martinolle (Wed Jan 18 2006 - 14:11:24 PST)
- [sv-cc] dynamic arrays can be dynamic arrays of dynamic arrays francoise martinolle (Wed Jan 18 2006 - 14:11:24 PST)
- [sv-cc] Updated proposal for 1269 -- Open Arrays (esp. output issues) Duncan, Ralph (Thu Jan 19 2006 - 14:09:17 PST)
- [sv-cc] Opinion on merging of P1364 and P1800 Karen Pieper (Fri Jan 27 2006 - 17:40:34 PST)
- [sv-cc] RE: [sv-ac] Re: [sv-ec] Re: [sv-bc] Opinion on merging of P1364 and P1800 Stuart Sutherland (Sun Jan 29 2006 - 20:34:48 PST)
- [sv-cc] RE: [sv-ac] Re: [sv-ec] Re: [sv-bc] Opinion on merging of P1364 and P1800 Warmke, Doug (Mon Jan 30 2006 - 00:15:33 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Faisal Haque \(fhaque\)" <fhaque@cisco.com>]] Charles Dawson (Mon Jan 30 2006 - 14:11:22 PST)
- [sv-cc] Re: [sv-bc] Opinion on merging of P1364 and P1800 Clifford E. Cummings (Mon Jan 30 2006 - 15:07:47 PST)
- [sv-cc] RE: [sv-ac] Opinion on merging of P1364 and P1800 Karen Pieper (Mon Jan 30 2006 - 15:56:39 PST)
- [sv-cc] RE: [sv-ac] Opinion on merging of P1364 and P1800 Bresticker, Shalom (Mon Jan 30 2006 - 22:51:06 PST)
- [sv-cc] SystemVerilog & SystemC PAR Request (P1800 & P1666) Slater Rob-R53680 (Mon Jan 30 2006 - 22:58:23 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Faisal Haque \(fhaque\)" <fhaque@cisco.com>]] Charles Dawson (Tue Jan 31 2006 - 11:00:30 PST)
- [sv-cc] SV-CC agenda for 02/01/2006 Charles Dawson (Tue Jan 31 2006 - 11:48:06 PST)
- [sv-cc] DPI unions as parameters Duncan, Ralph (Tue Jan 31 2006 - 16:59:24 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Bradford Jonathan" <Jonathan.Bradford@Micronas.com>]] Charles Dawson (Wed Feb 01 2006 - 06:35:45 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from [John Stickley <john_stickley@mentor.com>]] Charles Dawson (Wed Feb 01 2006 - 13:53:40 PST)
- [sv-cc] SV-CC Meeting minutes for 02/01/2006 Charles Dawson (Thu Feb 02 2006 - 09:07:09 PST)
- [sv-cc] RE: Opinion on merging of P1364 and P1800 Bresticker, Shalom (Fri Feb 03 2006 - 00:29:29 PST)
- [sv-cc] [Fwd: BOUNCE sv-cc@eda.org: Non-member submission from ["Geoffrey.Coram" <Geoffrey.Coram@analog.com>]] Charles Dawson (Fri Feb 03 2006 - 10:33:47 PST)
- [sv-cc] vpiEndColumn, vpiColumn properties for assertions francoise martinolle (Thu Feb 09 2006 - 12:03:41 PST)
- [sv-cc] Unpacked array argument index correspondance Warmke, Doug (Fri Feb 10 2006 - 15:59:38 PST)
- [sv-cc] SV-CC agenda for 02/15/2006 Charles Dawson (Tue Feb 14 2006 - 14:00:44 PST)
- [sv-cc] Draft of the response to the P1800 committee Charles Dawson (Tue Feb 14 2006 - 14:23:39 PST)
- [sv-cc] Mantis item 791 incomplete? Jim Vellenga (Wed Feb 15 2006 - 08:48:55 PST)
- [sv-cc] SV-CC Meeting minutes for 02/15/2006 Charles Dawson (Wed Feb 15 2006 - 13:30:21 PST)
- RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al. Bassam Tabbara (Thu Feb 16 2006 - 14:23:17 PST)
- [sv-cc] Should mark Mantis Item 0438 as not fixable Charles Dawson (Fri Feb 17 2006 - 11:07:12 PST)
- (no subject) Karen Pieper (Mon Feb 27 2006 - 21:17:30 PST)
- [sv-cc] Item 1322 (DPI unions): new write-up Duncan, Ralph (Tue Feb 28 2006 - 17:03:34 PST)
- [sv-cc] Meeting tomorrow Charlie Dawson (Tue Feb 28 2006 - 20:33:54 PST)
- [sv-cc] SV-CC agenda for 03/01/2006 Charles Dawson (Wed Mar 01 2006 - 06:38:29 PST)
- [sv-cc] SV-CC Meeting minutes for 03/01/2006 Charles Dawson (Wed Mar 01 2006 - 13:33:53 PST)
- [sv-cc] Index array correspondence: combining two insights Duncan, Ralph (Fri Mar 10 2006 - 09:14:50 PST)
- [sv-cc] SV-CC agenda for 03/15/2006 Charles Dawson (Tue Mar 14 2006 - 14:41:37 PST)
- [sv-cc] 1343 unpacked array index correspondence Duncan, Ralph (Tue Mar 14 2006 - 15:50:59 PST)
- [sv-cc] SV-CC Meeting minutes for 03/15/2006 Charles Dawson (Wed Mar 15 2006 - 12:36:36 PST)
- [sv-cc] Mantis item #1385 entered Chuck Berking (Wed Mar 15 2006 - 13:54:06 PST)
- [sv-cc] Mantis items 1322, 1343: proposals Duncan, Ralph (Wed Mar 15 2006 - 15:59:50 PST)
- [sv-cc] Mantis item 1343 updated proposal Duncan, Ralph (Thu Mar 16 2006 - 14:52:57 PST)
- [sv-cc] fork join VPI access francoise martinolle (Tue Mar 21 2006 - 20:33:50 PST)
- [sv-cc] Mantis item 1395: Open arrays: unsized packed dimensions Duncan, Ralph (Tue Mar 28 2006 - 16:28:07 PST)
- [sv-cc] SV-CC agenda for 03/15/2006 Charles Dawson (Tue Mar 28 2006 - 17:12:11 PST)
- RE: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] francoise martinolle (Wed Mar 29 2006 - 13:00:09 PST)
- Re: [Fwd: RE: [sv-cc] SV-CC Meeting minutes for 02/15/2006 -- vpiColumn et. al.] Bassam Tabbara (Thu Mar 30 2006 - 16:04:39 PST)
- [sv-cc] New issue with proposal Jim Vellenga (Mon Apr 03 2006 - 08:42:37 PDT)
- [sv-cc] Mantis #1395 (unsized, packed dimensions): proposal Duncan, Ralph (Mon Apr 03 2006 - 14:38:48 PDT)
- [sv-cc] SV-CC Meeting minutes for 03/29/2006 Charles Dawson (Fri Apr 07 2006 - 19:46:44 PDT)
- [sv-cc] SV-CC agenda for 04/12/2006 - NOTE the time change Charles Dawson (Wed Apr 12 2006 - 07:17:23 PDT)
- [sv-cc] Proposals for Item 1403 Jim Vellenga (Wed Apr 12 2006 - 12:02:33 PDT)
- [sv-cc] Filing IEEE interpretations Steven J. Dovich (Wed Apr 12 2006 - 14:08:26 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/12/2006 Charles Dawson (Fri Apr 14 2006 - 08:05:46 PDT)
- [sv-cc] mantis item 1431 for vpiChandleVar/vpiChandleTypespec Andrzej I. Litwiniuk (Wed Apr 19 2006 - 08:01:11 PDT)
- [sv-cc] submitted mantis items 1434 and 1435 for fork join issues francoise martinolle (Mon Apr 24 2006 - 13:46:34 PDT)
- [sv-cc] SV-CC agenda for 04/26/2006 Charles Dawson (Tue Apr 25 2006 - 10:28:01 PDT)
- [sv-cc] assignment pattern expressions information model efficiency francoise martinolle (Tue Apr 25 2006 - 20:50:06 PDT)
- [sv-cc] diagram 27.47 "Patterns" issues francoise martinolle (Tue Apr 25 2006 - 20:50:06 PDT)
- [sv-cc] clocking blocks in modports francoise martinolle (Wed Apr 26 2006 - 04:50:46 PDT)
- [sv-cc] [Fwd: RE: Issue within the ITC] Charles Dawson (Wed Apr 26 2006 - 09:10:05 PDT)
- [sv-cc] I have updated the proposals for Mantis item #1403 ... Jim Vellenga (Wed Apr 26 2006 - 10:28:47 PDT)
- [sv-cc] SV-CC Meeting minutes for 04/26/2006 Charles Dawson (Thu Apr 27 2006 - 08:18:17 PDT)
- [sv-cc] IEEE Std 1364-2005 is available on IEEE Xplore Bresticker, Shalom (Fri Apr 28 2006 - 00:45:00 PDT)
- [sv-cc] I have uploaded a PDF with the proposal for Item 1431 Charles Dawson (Fri Apr 28 2006 - 11:22:56 PDT)
- [sv-cc] Ref: SV-CC meeting of 4/26: IEEE1800-2005 - Invoking DPI export functions/tasks from non context import functions/tasks Amit Kohli (Mon May 08 2006 - 07:43:15 PDT)
- [sv-cc] Mantis item 1456 DPI context calls and utilities Duncan, Ralph (Mon May 08 2006 - 14:02:33 PDT)
- [sv-cc] SV-CC agenda for 05/10/2006 Charles Dawson (Tue May 09 2006 - 13:01:13 PDT)
- [sv-cc] DPI 'context' mechanics (ref: item 1456) Duncan, Ralph (Thu May 11 2006 - 11:15:34 PDT)
- [sv-cc] SVDB Operating Procedures Karen Pieper (Thu May 11 2006 - 13:03:32 PDT)
- [sv-cc] virtual interfaces information model Francoise Martinolle (Tue May 16 2006 - 13:04:40 PDT)
- [sv-cc] SV-CC Meeting minutes for 05/10/2006 Charlie Dawson (Fri May 19 2006 - 12:51:07 PDT)
- [sv-cc] 1456 (context behavior): modified proposal Duncan, Ralph (Mon May 22 2006 - 10:49:37 PDT)
- RE: [sv-cc] 1456 (context behavior): context follow-up Duncan, Ralph (Tue May 23 2006 - 08:33:56 PDT)
- [sv-cc] SV-CC agenda for 05/24/2006 Charlie Dawson (Tue May 23 2006 - 14:07:41 PDT)
- [sv-cc] Mantis item 1488: Context clarification Duncan, Ralph (Tue May 23 2006 - 15:05:07 PDT)
- [sv-cc] [Fwd: FW: [sv-ac] #1361 proposal] Charlie Dawson (Wed May 24 2006 - 09:14:33 PDT)
- [sv-cc] Modifications to 1456 and 1488 Duncan, Ralph (Wed May 24 2006 - 16:28:39 PDT)
- [sv-cc] eda.org Bresticker, Shalom (Mon Jun 05 2006 - 20:41:28 PDT)
- [sv-cc] Context items: (1456,1488 mods), C setjmp/longjmp, pure/context Duncan, Ralph (Tue Jun 06 2006 - 10:17:02 PDT)
- [sv-cc] FW: Jim's 3 context scenarios Duncan, Ralph (Tue Jun 06 2006 - 13:55:21 PDT)
- [sv-cc] SV-CC Meeting minutes for 05/24/2006 Charlie Dawson (Wed Jun 07 2006 - 06:38:34 PDT)
- [sv-cc] SV-CC agenda for 06/07/2006 Charlie Dawson (Wed Jun 07 2006 - 06:43:36 PDT)
- [sv-cc] SV-CC Meeting minutes for 06/07/2006 Charlie Dawson (Tue Jun 13 2006 - 14:30:48 PDT)
- [sv-cc] FW: [P1800] Fw: eda.org --> eda-stds.org ... and email should be back up now... Bresticker, Shalom (Sun Jun 18 2006 - 23:40:21 PDT)
- [sv-cc] SV-CC agenda for 06/14/2006 Charlie Dawson (Tue Jun 20 2006 - 14:05:08 PDT)
- [sv-cc] FW: mantis item 104: vcd file and data read API Francoise Martinolle (Wed Jun 21 2006 - 09:54:43 PDT)
- [sv-cc] FW: eda-stds announcement Karen Pieper (Fri Jun 23 2006 - 14:52:20 PDT)
- [sv-cc] RE: [sv-bc] FW: mantis item 104: vcd file and data read API Stuart Sutherland (Mon Jun 26 2006 - 23:00:32 PDT)
- [sv-cc] SV-CC Meeting minutes for 06/21/2006 Charlie Dawson (Fri Jun 30 2006 - 14:03:00 PDT)
- [sv-cc] Mantis listings Jim Vellenga (Mon Jul 10 2006 - 08:43:47 PDT)
- [sv-cc] New Mantis item with proposal Jim Vellenga (Mon Jul 10 2006 - 10:25:45 PDT)
- [sv-cc] Relating to Mantis 1385 Moorhouse, Abigail (Tue Jul 18 2006 - 11:28:43 PDT)
- [sv-cc] SV-CC agenda for 07/19/2006 Charlie Dawson (Tue Jul 18 2006 - 13:34:01 PDT)
- [sv-cc] SV-CC Meeting minutes for 07/19/2006 Charlie Dawson (Tue Aug 01 2006 - 19:22:00 PDT)
- [sv-cc] SV-CC agenda for 08/02/2006 Charlie Dawson (Tue Aug 01 2006 - 19:24:31 PDT)
- [sv-cc] A possible interpretation for vpi_compare_objects Jim Vellenga (Wed Aug 02 2006 - 11:21:22 PDT)
- [sv-cc] [Fwd: RE: New PAR is Approved, Voting rights to be reset] Charlie Dawson (Thu Aug 03 2006 - 11:49:43 PDT)
- [sv-cc] SV-CC Meeting minutes for 08/02/2006 Charlie Dawson (Fri Aug 04 2006 - 13:25:59 PDT)
- [sv-cc] RE: [sv-ec] Covergroup information in VPI object model Francoise Martinolle (Fri Aug 11 2006 - 03:43:26 PDT)
- [sv-cc] SV-CC agenda for 08/16/2006 Charlie Dawson (Tue Aug 15 2006 - 15:28:19 PDT)
- [sv-cc] FW: [sv-ec] 1800 PAR Francoise Martinolle (Wed Aug 16 2006 - 09:25:32 PDT)
- [sv-cc] Mantis 1570: integer and time types Duncan, Ralph (Thu Aug 24 2006 - 10:40:51 PDT)
- [sv-cc] SV-CC Meeting minutes for 08/16/2006 Charlie Dawson (Tue Aug 29 2006 - 14:53:30 PDT)
- [sv-cc] SV-CC agenda for 08/30/2006 Charlie Dawson (Tue Aug 29 2006 - 15:04:27 PDT)
- [sv-cc] New Mantis item 1579 Jim Vellenga (Thu Aug 31 2006 - 14:00:27 PDT)
- [sv-cc] SV-CC Meeting minutes for 08/30/2006 Charlie Dawson (Thu Aug 31 2006 - 15:05:38 PDT)
- [sv-cc] SV-CC agenda for 09/13/2006 Charlie Dawson (Tue Sep 12 2006 - 14:18:16 PDT)
- [sv-cc] FW: [sv-bc] Please look at SV-AC issue 1549, new keyword being voted on Francoise Martinolle (Wed Sep 13 2006 - 07:42:53 PDT)
- [sv-cc] SV-CC Meeting minutes for 09/13/2006 Charlie Dawson (Wed Sep 13 2006 - 12:25:28 PDT)
- [sv-cc] Please RSVP for face-to-face meeting Charlie Dawson (Wed Sep 13 2006 - 12:30:01 PDT)
- [sv-cc] Mantis item 1579 replaced by 1603 Jim Vellenga (Thu Sep 21 2006 - 08:01:50 PDT)
- [sv-cc] Question about duplicate callbacks Moorhouse, Abigail (Thu Sep 21 2006 - 15:45:51 PDT)
- [sv-cc] SV-CC agenda for 09/27/2006 Charlie Dawson (Tue Sep 26 2006 - 14:50:45 PDT)
- [sv-cc] SV-CC Meeting minutes for 09/27/2006 Charlie Dawson (Mon Oct 02 2006 - 11:46:56 PDT)
- [sv-cc] SV-CC agenda for 10/11/2006 Charlie Dawson (Tue Oct 10 2006 - 19:40:33 PDT)
- [sv-cc] Detailed agenda for SV-CC face to face Charlie Dawson (Fri Oct 13 2006 - 12:23:16 PDT)
- [sv-cc] SV-CC Meeting minutes for 10/11/2006 Charlie Dawson (Fri Oct 13 2006 - 12:53:50 PDT)
- [sv-cc] Agenda for Tomorrow 10/17/2006 Charlie Dawson (Mon Oct 16 2006 - 14:33:28 PDT)
- [sv-cc] SV-CC agenda for 10/25/2006 Charlie Dawson (Tue Oct 24 2006 - 14:39:49 PDT)
- [sv-cc] Example header file for discussion today Michael Rohleder (Wed Oct 25 2006 - 05:43:18 PDT)
- [sv-cc] Emailing: f2f_notes.pdf Charlie Dawson (Wed Oct 25 2006 - 08:01:38 PDT)
- [sv-cc] Update face-to-face meeting notes Charlie Dawson (Wed Oct 25 2006 - 14:04:51 PDT)
- [sv-cc] SV-CC Meeting minutes for 10/25/2006 Charlie Dawson (Wed Oct 25 2006 - 14:15:39 PDT)
- [sv-cc] SV-CC agenda for 11/08/2006 Charlie Dawson (Tue Nov 07 2006 - 15:13:19 PST)
- [sv-cc] Packed-arrays and related improvements proposal Chuck Berking (Fri Nov 17 2006 - 12:43:32 PST)
- [sv-cc] Meeting this week? Charlie Dawson (Mon Nov 20 2006 - 08:29:55 PST)
- [sv-cc] SV-CC Meeting minutes for 11/08/2006 Charlie Dawson (Mon Nov 20 2006 - 13:55:57 PST)
- [sv-cc] Mantis item entered FYI. Chuck Berking (Tue Nov 21 2006 - 13:53:30 PST)
- [sv-cc] No meeting tomorrow Charlie Dawson (Tue Nov 21 2006 - 19:05:31 PST)
- [sv-cc] RESEND: SV-CC Meeting minutes for 11/08/2006 Charlie Dawson (Tue Nov 21 2006 - 19:11:34 PST)
- [sv-cc] RE: No meeting tomorrow Jim Vellenga (Wed Nov 22 2006 - 08:13:49 PST)
- [sv-cc] mantis items with proposals Charlie Dawson (Wed Nov 22 2006 - 13:24:26 PST)
- [sv-cc] Question on "rand" qualifer on struct elements Warmke, Doug (Wed Nov 29 2006 - 11:47:18 PST)
- [sv-cc] Re: [P1800] SV-XC committee meeting invitation Kevin Cameron (Sat Dec 02 2006 - 01:14:15 PST)
- [sv-cc] [Fwd: [P1800] SV-XC committee meeting invitation] Charlie Dawson (Mon Dec 04 2006 - 07:43:36 PST)
- [sv-cc] SV-CC agenda for 12/06/2006 Charlie Dawson (Tue Dec 05 2006 - 14:30:57 PST)
- [sv-cc] SV-CC Meeting minutes for 12/06/2006 Charlie Dawson (Fri Dec 08 2006 - 13:59:08 PST)
- [sv-cc] DPI handling or rand/randc data Ralph Duncan (Mon Dec 11 2006 - 11:20:49 PST)
- RE: [sv-cc] DPI and "rand" qualifers in general Ralph Duncan (Wed Dec 13 2006 - 10:20:38 PST)
- [sv-cc] Spectrum of legal rand-qualified types? Ralph Duncan (Thu Dec 14 2006 - 09:47:03 PST)
- [sv-cc] General idea for DPI qualifiers Ralph Duncan (Mon Dec 18 2006 - 15:00:17 PST)
- [sv-cc] Agenda: SV-XC committee meeting (Dec 20, 2006) Neil Korpusik (Tue Dec 19 2006 - 09:54:41 PST)
- [sv-cc] SV-CC agenda for 12/20/2006 Charlie Dawson (Tue Dec 19 2006 - 15:38:31 PST)
- [sv-cc] [Fwd: FW: [sv-ec] Updated Event Scheduling Proposal (section 9.3) with new region descriptions] Charlie Dawson (Wed Dec 20 2006 - 09:40:26 PST)
- [sv-cc] SV-CC Meeting minutes for 12/20/2006 Charlie Dawson (Wed Dec 20 2006 - 17:51:07 PST)
- [sv-cc] Minor update to vpiParent_std.pdf Chuck Berking (Thu Dec 21 2006 - 09:30:12 PST)
- [sv-cc] New issue and proposal: 1700 Jim Vellenga (Thu Dec 21 2006 - 12:55:05 PST)
- [sv-cc] Feedback on Compatibility Proposal Chuck Berking (Fri Dec 22 2006 - 15:26:48 PST)
- [sv-cc] [Fwd: SV-CC Meeting minutes for 12/20/2006] Charlie Dawson (Tue Jan 02 2007 - 13:22:52 PST)
- [sv-cc] SV-CC agenda for 01/03/3007 Charlie Dawson (Tue Jan 02 2007 - 13:36:30 PST)
- [sv-cc] DPI and rand qualifier (grammar) Ralph Duncan (Wed Jan 03 2007 - 09:04:27 PST)
- [sv-cc] SV-CC Meeting minutes for 01/03/2007 Charlie Dawson (Wed Jan 03 2007 - 12:20:20 PST)
- [sv-cc] Proposal for 1700 has been updated ... Jim Vellenga (Wed Jan 03 2007 - 12:48:45 PST)
- [sv-cc] Update to Mantis item 1684 (vpiParent proposal) Chuck Berking (Tue Jan 09 2007 - 09:34:24 PST)
- [sv-cc] FW: a question about system verilog class deconstructor Stuart Sutherland (Wed Jan 10 2007 - 08:13:21 PST)
- [sv-cc] FW: a question about system verilog class deconstructor Stuart Sutherland (Wed Jan 10 2007 - 10:26:49 PST)
- [sv-cc] vpiParent proposal update Chuck Berking (Fri Jan 12 2007 - 13:47:31 PST)
- [sv-cc] Change "P1800-2005" in Annex F and G? Stuart Sutherland (Mon Jan 15 2007 - 11:06:33 PST)
- [sv-cc] SV-CC agenda for 01/17/3007 Charlie Dawson (Tue Jan 16 2007 - 13:38:54 PST)
- [sv-cc] Proposal for DPI rand/randc handling Ralph Duncan (Wed Jan 17 2007 - 11:05:00 PST)
- [sv-cc] SV-CC Meeting minutes for 01/17/2007 Charlie Dawson (Fri Jan 19 2007 - 13:50:58 PST)
- [sv-cc] Updated 1716 proposal (rand/randc) Ralph Duncan (Tue Jan 30 2007 - 10:31:12 PST)
- [sv-cc] SV-CC agenda for 01/31/3007 Charlie Dawson (Tue Jan 30 2007 - 13:36:56 PST)
- [sv-cc] Initial Draft Specifications Available for Download Brophy, Dennis (Tue Jan 30 2007 - 20:49:20 PST)
- [sv-cc] Minor correction to vpiParent_std.pdf proposal for Mantis #1684 Chuck Berking (Wed Jan 31 2007 - 13:38:01 PST)
- [sv-cc] Meeting minutes for 01/31/2007 Jim Vellenga (Thu Feb 01 2007 - 10:00:17 PST)
- [sv-cc] VPI handles for class (dynamic objects) discussion Chuck Berking (Fri Feb 02 2007 - 12:29:40 PST)
- [sv-cc] Updated Event Scheduling Proposal - 20070205 Clifford E. Cummings (Mon Feb 05 2007 - 18:03:43 PST)
- [sv-cc] PDF version of clean Scheduling Proposal Clifford E. Cummings (Mon Feb 05 2007 - 18:13:05 PST)
- [sv-cc] SV-CC agenda for 02/14/3007 Charlie Dawson (Tue Feb 13 2007 - 12:27:48 PST)
- [sv-cc] vpiParent proposal updated Chuck Berking (Wed Feb 14 2007 - 11:27:13 PST)
- [sv-cc] RSVP For P1800 SystemVerilog Working Group Meeting Brophy, Dennis (Thu Feb 15 2007 - 13:03:43 PST)
- [sv-cc] Meeting minutes for 02/14/2007 Charlie Dawson (Fri Feb 16 2007 - 14:30:00 PST)
- [sv-cc] Last Call - RSVP for IEEE P1800 WG Meeting Brophy, Dennis (Fri Feb 16 2007 - 15:30:06 PST)
- [sv-cc] Last Call - RSVP for IEEE P1800 WG Meeting Karen Pieper (Fri Feb 16 2007 - 15:41:26 PST)
- [sv-cc] Proposed merged LRM Table of Contents Stuart Sutherland (Mon Feb 19 2007 - 22:26:19 PST)
- [sv-cc] IEEE P1800 WG Meeting Brophy, Dennis (Tue Feb 20 2007 - 10:07:41 PST)
- [sv-cc] Cut & Paste Versions of the draft LRMs Uploaded Brophy, Dennis (Tue Feb 20 2007 - 13:59:24 PST)
- [sv-cc] RE: [sv-bc] Enhancements important for assertion specification (with Mantis numbers) Bresticker, Shalom (Mon Feb 26 2007 - 00:39:43 PST)
- [sv-cc] SV-CC agenda for 02/28/2007 Charlie Dawson (Tue Feb 27 2007 - 19:25:18 PST)
- [sv-cc] New Mantis item and proposal (vpiParent of part-select) Jim Vellenga (Wed Feb 28 2007 - 10:57:14 PST)
- [sv-cc] Duplicate Manits item on vpiFile and vpiLineNo of vpiClassObj Jim Vellenga (Wed Feb 28 2007 - 11:32:55 PST)
- [sv-cc] Mantis item/proposal on vpiFile and vpiLineNo Jim Vellenga (Wed Feb 28 2007 - 11:43:20 PST)
- [sv-cc] Meeting minutes for 02/20/2007 Charlie Dawson (Wed Feb 28 2007 - 12:31:14 PST)
- [sv-cc] Meeting minutes for 02/20/2007 - second draft Charlie Dawson (Wed Feb 28 2007 - 13:45:03 PST)
- [sv-cc] Mantis proposal on vpiConstantSelect Jim Vellenga (Wed Feb 28 2007 - 13:51:14 PST)
- [sv-cc] Sample design to illustrate vpiFile and vpiLineNo problem Jim Vellenga (Thu Mar 01 2007 - 05:46:58 PST)
- [sv-cc] SVA enhancement items Bassam Tabbara (Thu Mar 01 2007 - 12:18:21 PST)
- [sv-cc] initial values in VCD Neil Korpusik (Thu Mar 01 2007 - 18:14:06 PST)
- FW: [sv-ec] RE: [sv-cc] PDF version of clean Scheduling Proposal Francoise Martinolle (Mon Mar 05 2007 - 11:23:22 PST)
- [sv-cc] Mantis item 890 is about to be voted on Neil Korpusik (Mon Mar 05 2007 - 18:00:18 PST)
- [sv-cc] Feedback about Mantis 1752 Michael Rohleder (Wed Mar 07 2007 - 10:40:39 PST)
- [sv-cc] [Fwd: RE: Draft three of the merged data model.] Charlie Dawson (Tue Mar 13 2007 - 14:09:16 PDT)
- [sv-cc] SV-CC agenda for 03/14/2007 Charlie Dawson (Tue Mar 13 2007 - 14:09:41 PDT)
- [sv-cc] [Fwd: Ready for merged PLI clauses] Charlie Dawson (Wed Mar 14 2007 - 09:22:25 PDT)
- [sv-cc] Update to Mantis item 1751 (Part Select parent) Jim Vellenga (Wed Mar 14 2007 - 11:10:59 PDT)
- [sv-cc] Meeting minutes for 03/14/2007 Charlie Dawson (Thu Mar 15 2007 - 12:55:07 PDT)
- [sv-cc] IEEE P1800 Draft2 Available Brophy, Dennis (Mon Mar 19 2007 - 05:57:54 PDT)
- [sv-cc] RE: [sv-ec] IEEE P1800 Draft2 Available Bresticker, Shalom (Mon Mar 19 2007 - 06:16:43 PDT)
- [sv-cc] mantis item 1764 Francoise Martinolle (Mon Mar 19 2007 - 14:25:19 PDT)
- [sv-cc] SV-CC agenda for 03/28/2007 Charlie Dawson (Tue Mar 27 2007 - 13:47:47 PDT)
- [sv-cc] Mantis item #1766 entered. Chuck Berking (Tue Mar 27 2007 - 13:50:34 PDT)
- [sv-cc] Decision criteria for meaning of vpiConstantSelect5 (Mantis 1726) Jim Vellenga (Wed Mar 28 2007 - 07:03:01 PDT)
- [sv-cc] Update to finalize Mantis item #1766 Chuck Berking (Wed Mar 28 2007 - 11:48:29 PDT)
- [sv-cc] Meeting minutes for 03/28/2007 Charlie Dawson (Wed Mar 28 2007 - 13:41:49 PDT)
- [sv-cc] Next SV-CC face to face meeting Charlie Dawson (Mon Apr 02 2007 - 08:05:37 PDT)
- [sv-cc] SV-CC agenda for 04/11/2007 Charlie Dawson (Tue Apr 10 2007 - 15:09:16 PDT)
- [sv-cc] Compatibility proposal uploaded for Mantis item #1385 Chuck Berking (Tue Apr 10 2007 - 15:19:25 PDT)
- [sv-cc] Formatting issue in 37.33 Jim Vellenga (Wed Apr 11 2007 - 07:12:31 PDT)
- [sv-cc] RE: Compatibility proposal uploaded for Mantis item #1385 Jim Vellenga (Wed Apr 11 2007 - 08:41:57 PDT)
- [sv-cc] RE: Compatibility proposal uploaded for Mantis item #1385 Chuck Berking (Wed Apr 11 2007 - 08:47:30 PDT)
- [sv-cc] [Fwd: Interesting LRM pli conflict] Charlie Dawson (Wed Apr 11 2007 - 09:14:42 PDT)
- [sv-cc] [Fwd: Re: [sv-bc] Interesting LRM pli conflict] Charlie Dawson (Wed Apr 11 2007 - 09:15:08 PDT)
- [sv-cc] [Fwd: Re: [sv-bc] Interesting LRM pli conflict] Charlie Dawson (Wed Apr 11 2007 - 09:15:26 PDT)
- [sv-cc] picture for discussion today Michael Rohleder (Wed Apr 11 2007 - 09:28:20 PDT)
- [sv-cc] Meeting minutes for 04/11/2007 Charlie Dawson (Wed Apr 11 2007 - 13:03:05 PDT)
- [sv-cc] Re: Are we all thinking of the same use model for compatibility modes? Michael Rohleder (Thu Apr 12 2007 - 05:45:51 PDT)
- [sv-cc] RE: Are we all thinking of the same use model for compatibility modes? Jim Vellenga (Thu Apr 12 2007 - 06:11:33 PDT)
- [sv-cc] RE: Are we all thinking of the same use model for compatibility modes? Chuck Berking (Thu Apr 12 2007 - 07:48:34 PDT)
- [sv-cc] RE: Are we all thinking of the same use model for compatibility modes? Chuck Berking (Thu Apr 12 2007 - 08:50:51 PDT)
- [sv-cc] Re: Are we all thinking of the same use model for compatibility modes? Michael Rohleder (Thu Apr 12 2007 - 08:59:03 PDT)
- [sv-cc] Updated version of Compatibility proposal uploaded (Mantis #1385) Chuck Berking (Fri Apr 13 2007 - 12:45:55 PDT)
- [sv-cc] FW: [sv-ec] 890 scheduling algorithm questions Francoise Martinolle (Mon Apr 16 2007 - 18:08:41 PDT)
- [sv-cc] Agenda for the April 30 - May 1 face to face meeting Charlie Dawson (Fri Apr 20 2007 - 12:59:52 PDT)
- [sv-cc] Propsoal for 1741 Jim Vellenga (Tue Apr 24 2007 - 06:52:32 PDT)
- [sv-cc] Mantis data base has been update Charlie Dawson (Tue Apr 24 2007 - 09:21:16 PDT)
- [sv-cc] Mantis item 985 Jim Vellenga (Tue Apr 24 2007 - 09:47:07 PDT)
- [sv-cc] SV-CC agenda for 04/25/2007 Charlie Dawson (Tue Apr 24 2007 - 18:56:19 PDT)
- [sv-cc] Compatibility Proposal update Chuck Berking (Wed Apr 25 2007 - 08:57:07 PDT)
- [sv-cc] FW: Updated compatibility proposal Chuck Berking (Thu Apr 26 2007 - 11:36:39 PDT)
- [sv-cc] Packed-arrays of packed-structs info Chuck Berking (Fri Apr 27 2007 - 13:04:59 PDT)
- [sv-cc] Face to face Meeting notice Charlie Dawson (Mon Apr 30 2007 - 06:01:11 PDT)
- [sv-cc] Meeting minutes for 04/25/2007 Charlie Dawson (Mon Apr 30 2007 - 07:55:00 PDT)
- [sv-cc] Meeting minutes for 4/30/2007 Charlie Dawson (Mon Apr 30 2007 - 13:45:53 PDT)
- [sv-cc] Re: [sv-ec] 890 scheduling algorithm questions Neil Korpusik (Mon Apr 30 2007 - 16:42:54 PDT)
- [sv-cc] Substitute paragraph Jim Vellenga (Tue May 01 2007 - 11:38:50 PDT)
- [sv-cc] meeting minutes for 05/01/2007 Charlie Dawson (Tue May 01 2007 - 13:58:23 PDT)
- [sv-cc] Compatibility Proposal Updated for Mantis item #1385 Chuck Berking (Thu May 03 2007 - 10:31:29 PDT)
- [sv-cc] Packed-array summary uploaded to Mantis #1230 Chuck Berking (Fri May 04 2007 - 09:37:20 PDT)
- [sv-cc] IEEE P1800-2008 Draft 3 Available for Download Brophy, Dennis (Sun May 06 2007 - 13:20:44 PDT)
- [sv-cc] Correction to Compatibility proposal uploaded (#1385) Chuck Berking (Mon May 07 2007 - 09:12:07 PDT)
- [sv-cc] [Fwd: Questions on merged DPI clause] Charlie Dawson (Tue May 08 2007 - 09:01:58 PDT)
- [sv-cc] SV-CC agenda for 05/09/2007 Charlie Dawson (Tue May 08 2007 - 09:03:56 PDT)
- [sv-cc] question from the CC committee Francoise Martinolle (Wed May 09 2007 - 10:05:42 PDT)
- [sv-cc] Meeting minutes for 05/09/2007 Ghassan Khoory (Wed May 09 2007 - 14:48:38 PDT)
- [sv-cc] Action required on the merged LRM - draft3 Neil Korpusik (Wed May 09 2007 - 18:10:43 PDT)
- [sv-cc] RE: question from the CC committee Stuart Sutherland (Wed May 09 2007 - 23:12:27 PDT)
- [sv-cc] SV-CC agenda for 05/23/2007 Charlie Dawson (Tue May 22 2007 - 14:33:04 PDT)
- [sv-cc] FYI- Minor compatibility proposal update (#1385) Chuck Berking (Wed May 23 2007 - 13:49:24 PDT)
- [sv-cc] Meeting minutes for 05/23/2007 Charlie Dawson (Wed May 23 2007 - 15:31:36 PDT)
- [sv-cc] Operating Guidelines - have been updated Neil Korpusik (Fri May 25 2007 - 18:55:22 PDT)
- [sv-cc] Compatibility proposal updated (Mantis #1385) Chuck Berking (Fri Jun 01 2007 - 10:17:44 PDT)
- [sv-cc] import/export function result types Bresticker, Shalom (Mon Jun 04 2007 - 02:30:27 PDT)
- RE: [sv-cc] 'Scalar' term for SV function results, etc. Ralph Duncan (Mon Jun 04 2007 - 08:50:56 PDT)
- [sv-cc] Minor update to 1385 Compatibility proposal Chuck Berking (Mon Jun 04 2007 - 09:42:12 PDT)
- [sv-cc] SV-CC agenda for 06/06/2007 Charlie Dawson (Mon Jun 04 2007 - 13:07:18 PDT)
- Re: [sv-cc] 'Scalar' term for SV function results, etc. Neil Korpusik (Mon Jun 04 2007 - 17:36:55 PDT)
- [sv-cc] Mantis upgrade Rich, Dave (Tue Jun 05 2007 - 09:11:34 PDT)
- [sv-cc] Press release announcing 1800 draft available for purchase Karen Pieper (Wed Jun 06 2007 - 09:45:48 PDT)
- [sv-cc] Updated proposal Jim Vellenga (Wed Jun 06 2007 - 11:20:16 PDT)
- [sv-cc] Compatibility Mode proposal (Mantis 1385) update Chuck Berking (Wed Jun 06 2007 - 13:37:46 PDT)
- [sv-cc] Meeting minutes for 06/06/2007 Charlie Dawson (Fri Jun 08 2007 - 08:21:47 PDT)
- [sv-cc] Questions from the editor. Charlie Dawson (Mon Jun 11 2007 - 12:44:12 PDT)
- [sv-cc] Editor questions on p. 792. Ralph Duncan (Mon Jun 11 2007 - 13:42:56 PDT)
- [sv-cc] Posting to multiple sv-*c reflectors Rich, Dave (Tue Jun 12 2007 - 18:54:11 PDT)
- [sv-cc] IEEE P1800-2008 Draft 3a Available for Download Brophy, Dennis (Wed Jun 13 2007 - 15:37:08 PDT)
- [sv-cc] RE: [P1800] IEEE P1800-2008 Draft 3a Available for Download Stuart Sutherland (Wed Jun 13 2007 - 20:22:35 PDT)
- [sv-cc] Review of P1800/D3 Section 36 (VPI Data Model) Chuck Berking (Thu Jun 14 2007 - 13:59:50 PDT)
- [sv-cc] RE: Review of P1800/D3 Section 36 (VPI Data Model) Stuart Sutherland (Thu Jun 14 2007 - 15:04:50 PDT)
- [sv-cc] FW: [sv-bc] Definition of `s_vpi_vecval' in `svdpi.h' and `vpi_user.h' Bresticker, Shalom (Sun Jun 17 2007 - 03:58:47 PDT)
- [sv-cc] RE: [sv-bc] Definition of `s_vpi_vecval' in `svdpi.h' and `vpi_user.h' Warmke, Doug (Sun Jun 17 2007 - 16:28:28 PDT)
- [sv-cc] RE: [sv-bc] Definition of `s_vpi_vecval' in `svdpi.h' and `vpi_user.h' Warmke, Doug (Mon Jun 18 2007 - 08:49:04 PDT)
- [sv-cc] SV-CC agenda for 06/20/2007 Charlie Dawson (Tue Jun 19 2007 - 19:31:47 PDT)
- [sv-cc] Update for vpiConstSelect Jim Vellenga (Wed Jun 20 2007 - 06:03:00 PDT)
- [sv-cc] Resending discussion document for 1726: Meaning of vpiConstantSelect Jim Vellenga (Wed Jun 20 2007 - 06:08:17 PDT)
- [sv-cc] Final compatibility proposal updated for Mantis #1385 Chuck Berking (Wed Jun 20 2007 - 15:59:52 PDT)
- [sv-cc] Meeting minutes for 06/20/2007 Charlie Dawson (Thu Jun 21 2007 - 07:11:25 PDT)
- [sv-cc] Updated proposal for Item 1837 Charlie Dawson (Thu Jun 21 2007 - 07:40:41 PDT)
- [sv-cc] RE: [sv-bc] Request from the SV-CC Rich, Dave (Thu Jun 21 2007 - 09:11:57 PDT)
- [sv-cc] Re: [sv-bc] Request from the SV-CC Clifford E. Cummings (Thu Jun 21 2007 - 10:45:17 PDT)
- [sv-cc] Re: [sv-bc] Request from the SV-CC Gordon Vreugdenhil (Thu Jun 21 2007 - 10:57:41 PDT)
- [sv-cc] Updated proposal for 1726 (vpiConstantSelect) Jim Vellenga (Fri Jun 22 2007 - 07:27:56 PDT)
- [sv-cc] Xrefs correct in clauses 38/40 of 3a draft Bassam Tabbara (Sun Jun 24 2007 - 17:55:57 PDT)
- [sv-cc] SV-CC agenda for 07/18/2007 Ghassan Khoory (Tue Jul 17 2007 - 21:55:27 PDT)
- [sv-cc] What's up with the svdb (Mantis site) ? Chuck Berking (Wed Jul 18 2007 - 06:42:32 PDT)
- [sv-cc] RE: What's up with the svdb (Mantis site) ? Jim Vellenga (Wed Jul 18 2007 - 07:23:29 PDT)
- [sv-cc] Mantis item entered Chuck Berking (Wed Jul 18 2007 - 13:56:12 PDT)
- [sv-cc] Mantis went down this morning and is now back up Neil Korpusik (Wed Jul 18 2007 - 14:53:51 PDT)
- [sv-cc] SV-CC Meeting Minutes for 07/18/2007 Ghassan Khoory (Thu Jul 19 2007 - 18:19:28 PDT)
- [sv-cc] New Mantis item 1946 + proposals Jim Vellenga (Mon Jul 23 2007 - 08:47:04 PDT)
- [sv-cc] New Mantis item 1947 + proposal Jim Vellenga (Mon Jul 23 2007 - 10:15:10 PDT)
- [sv-cc] Mantis outages - now resolved Neil Korpusik (Tue Jul 24 2007 - 11:24:20 PDT)
- [sv-cc] Mantis item for "null arguments" Jim Vellenga (Wed Jul 25 2007 - 08:29:12 PDT)
- [sv-cc] mantis item 1603 (champions review) Francoise Martinolle (Thu Jul 26 2007 - 08:26:28 PDT)
- [sv-cc] SV-CC agenda for 08/01/2007 Charlie Dawson (Tue Jul 31 2007 - 20:42:12 PDT)
- [sv-cc] SV-CC is starting now Charlie Dawson (Wed Aug 01 2007 - 08:38:51 PDT)
- [sv-cc] SV-CC Meeting Minutes for 08/01/2007 Charlie Dawson (Wed Aug 01 2007 - 09:16:28 PDT)
- [sv-cc] New Mantis item for vpiIndexTypespec Jim Vellenga (Thu Aug 09 2007 - 08:31:13 PDT)
- [sv-cc] Added proposal to clarify vpiRange relations Jim Vellenga (Thu Aug 09 2007 - 10:14:52 PDT)
- [sv-cc] SV-CC agenda for 08/15/2007 Charlie Dawson (Tue Aug 14 2007 - 11:26:47 PDT)
- [sv-cc] Please add to sv-cc agenda for 08/15/2007 Neil Korpusik (Tue Aug 14 2007 - 18:05:31 PDT)
- [sv-cc] 1741 proposal issue Francoise Martinolle (Wed Aug 15 2007 - 09:44:02 PDT)
- [sv-cc] SV-CC Meeting Minutes for 08/15/2007 Charlie Dawson (Mon Aug 20 2007 - 08:44:53 PDT)
- [sv-cc] Proposed meeting for this Wednesday 08/22/2007 Charlie Dawson (Mon Aug 20 2007 - 11:46:38 PDT)
- [sv-cc] SV-CC agenda for 08/22/2007 Charlie Dawson (Tue Aug 21 2007 - 10:23:00 PDT)
- [sv-cc] SV-CC Meeting Minutes for 08/22/2007 Charlie Dawson (Wed Aug 22 2007 - 13:49:40 PDT)
- [sv-cc] Mantis updates to 1684 and 1942 Chuck Berking (Wed Aug 22 2007 - 15:34:46 PDT)
- [sv-cc] SV-CC agenda for 08/29/2007 Charlie Dawson (Tue Aug 28 2007 - 14:36:37 PDT)
- [sv-cc] Updated proposal for Item 1970 Jim Vellenga (Wed Aug 29 2007 - 10:49:27 PDT)
- [sv-cc] Cannot update Mantis items 1741 and 1751 Jim Vellenga (Wed Aug 29 2007 - 11:15:11 PDT)
- [sv-cc] RE: Cannot update Mantis items 1741 and 1751 Karen Pieper (Wed Aug 29 2007 - 13:00:55 PDT)
- [sv-cc] Champions Role Karen Pieper (Thu Aug 30 2007 - 10:38:18 PDT)
- [sv-cc] Mantis item #2009 entered w. proposal Chuck Berking (Fri Aug 31 2007 - 09:36:37 PDT)
- [sv-cc] SV-CC Meeting Minutes for 08/29/2007 Charlie Dawson (Fri Aug 31 2007 - 12:22:49 PDT)
- [sv-cc] Remaining schedule for IEEE P1800 development Karen Pieper (Sat Sep 01 2007 - 21:25:24 PDT)
- [sv-cc] Need your review of Mantis item 1741 Jim Vellenga (Tue Sep 04 2007 - 13:24:37 PDT)
- [sv-cc] RE: [sv-bc] Need your review of Mantis item 1741 Brad Pierce (Tue Sep 04 2007 - 13:34:01 PDT)
- [sv-cc] Mantis item 1751 updated Jim Vellenga (Tue Sep 04 2007 - 13:49:24 PDT)
- RE: [sv-cc] Mantis item 1367 updated Bresticker, Shalom (Tue Sep 04 2007 - 14:20:23 PDT)
- [sv-cc] mantis 1648 John Havlicek (Wed Sep 05 2007 - 10:18:17 PDT)
- [sv-cc] RE: [sv-bc] Need your review of Mantis item 1741 Steven Sharp (Sat Sep 08 2007 - 07:10:49 PDT)
- [sv-cc] Re: [sv-bc] Need your review of Mantis item 1741 Brad Pierce (Sat Sep 08 2007 - 11:05:25 PDT)
- [sv-cc] Re: [sv-bc] Need your review of Mantis item 1741 Steven Sharp (Sat Sep 08 2007 - 11:55:45 PDT)
- [sv-cc] RE: [sv-bc] Need your review of Mantis item 1741 Brad Pierce (Sat Sep 08 2007 - 18:25:34 PDT)
- [sv-cc] SV-CC agenda for 09/12/2007 Charlie Dawson (Tue Sep 11 2007 - 11:30:59 PDT)
- [sv-cc] FW: [SystemVerilog P1800 0001700]: vpiTimeConst and vpiNullConst have the same value Jim Vellenga (Wed Sep 12 2007 - 07:10:31 PDT)
- [sv-cc] FW: [SystemVerilog P1800 0001603]: Unused vpiMultiArray declaration in vpi_user.h Jim Vellenga (Wed Sep 12 2007 - 07:29:31 PDT)
- [sv-cc] FW: [SystemVerilog P1800 0000985]: cbSizeChange for queues too? Jim Vellenga (Wed Sep 12 2007 - 07:37:45 PDT)
- [sv-cc] Testing changes for 1865 Jim Vellenga (Thu Sep 13 2007 - 08:02:27 PDT)
- [sv-cc] SV-CC Meeting Minutes for 09/12/2007 Charlie Dawson (Fri Sep 14 2007 - 12:47:36 PDT)
- [sv-cc] SV-AC F2F Korchemny, Dmitry (Mon Sep 17 2007 - 02:11:09 PDT)
- [sv-cc] Added Mantis item 2054 - deprecate Data Read API Charlie Dawson (Thu Sep 20 2007 - 13:09:46 PDT)
- RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] Brad Pierce (Thu Sep 20 2007 - 14:47:54 PDT)
- Re: [sv-ec] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] Steven Sharp (Thu Sep 20 2007 - 15:51:41 PDT)
- RE: [sv-ec] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] Brad Pierce (Thu Sep 20 2007 - 16:52:18 PDT)
- RE: [sv-ac] Re: [sv-ec] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] Bresticker, Shalom (Thu Sep 20 2007 - 22:43:50 PDT)
- Re: [sv-ac] Re: [sv-ec] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] Michael Rohleder (Fri Sep 21 2007 - 05:52:03 PDT)
- RE: [sv-ac] RE: [sv-bc] [Fwd: [sv-cc] Added Mantis item 2054 - deprecate Data Read API] Bassam Tabbara (Fri Sep 21 2007 - 10:04:47 PDT)
- [sv-cc] tentative agenda for SV-AC face-to-face John Havlicek (Mon Sep 24 2007 - 17:52:05 PDT)
- [sv-cc] SV-CC agenda for 09/26/2007 Charlie Dawson (Tue Sep 25 2007 - 15:35:16 PDT)
- [sv-cc] New proposal for Mantis item 1952 (Empty arguments) Jim Vellenga (Wed Sep 26 2007 - 11:41:01 PDT)
- [sv-cc] SV-CC Meeting Minutes for 09/26/2007 Charlie Dawson (Wed Sep 26 2007 - 14:42:14 PDT)
- [sv-cc] web access for SV-AC face-to-face John Havlicek (Mon Oct 01 2007 - 16:00:08 PDT)
- [sv-cc] Read API Maidment, Matthew R (Tue Oct 02 2007 - 16:55:08 PDT)
- [sv-cc] FW: [sv-ac] some pointers for writing and reviewing proposals Neil Korpusik (Tue Oct 02 2007 - 17:43:24 PDT)
- RE: [sv-bc] RE: [sv-cc] Read API Stuart Sutherland (Tue Oct 02 2007 - 21:16:50 PDT)
- Re: [sv-bc] RE: [sv-cc] Read API Bassam Tabbara (Tue Oct 02 2007 - 22:16:09 PDT)
- [sv-cc] Erroneously fixed Mantis item Jim Vellenga (Mon Oct 08 2007 - 06:13:47 PDT)
- [sv-cc] SV-CC agenda for 10/10/2007 Charlie Dawson (Tue Oct 09 2007 - 14:24:53 PDT)
- [sv-cc] eda-std.org Rich, Dave (Tue Oct 09 2007 - 23:55:31 PDT)
- [sv-cc] Mantis item and proposal -- class specializations Jim Vellenga (Wed Oct 10 2007 - 08:13:47 PDT)
- [sv-cc] FW: [P1800] IEEE P1800 Draft 4 Ready for Download Jim Vellenga (Wed Oct 10 2007 - 09:05:30 PDT)
- [sv-cc] Updates to var select Chuck Berking (Thu Oct 11 2007 - 09:38:31 PDT)
- [sv-cc] RE: [sv-bc] Stu's QUESTIONS and NOTES in Draft 4 Warmke, Doug (Thu Oct 11 2007 - 10:09:11 PDT)
- [sv-cc] RE: [sv-bc] Stu's QUESTIONS and NOTES in Draft 4 Bresticker, Shalom (Thu Oct 11 2007 - 12:14:55 PDT)
- [sv-cc] RE: [sv-bc] Stu's QUESTIONS and NOTES in Draft 4 Bresticker, Shalom (Thu Oct 11 2007 - 12:21:17 PDT)
- [sv-cc] RE: [sv-bc] Stu's QUESTIONS and NOTES in Draft 4 Warmke, Doug (Thu Oct 11 2007 - 12:25:07 PDT)
- [sv-cc] question on Assertion iterators Lisa Piper (Fri Oct 12 2007 - 08:26:09 PDT)
- [sv-cc] Item for agenda Jim Vellenga (Mon Oct 15 2007 - 07:27:10 PDT)
- RE: [sv-ac] Re: [sv-cc] question on Assertion iterators Lisa Piper (Mon Oct 15 2007 - 10:41:27 PDT)
- [sv-cc] RE: vpi Identifier for property and sequence declarations Lisa Piper (Mon Oct 15 2007 - 19:19:11 PDT)
- [sv-cc] RE: vpi Identifier for property and sequence declarations Bassam Tabbara (Mon Oct 15 2007 - 19:50:18 PDT)
- [sv-cc] SV-CC Meeting Minutes for 10/10/2007 Charlie Dawson (Fri Oct 19 2007 - 12:36:10 PDT)
- [sv-cc] VPI issues Lisa Piper (Sat Oct 20 2007 - 19:28:27 PDT)
- Re: [sv-cc] SV-CC Meeting Minutes for 10/10/2007 -- 1599 Bassam Tabbara (Sun Oct 21 2007 - 12:08:48 PDT)
- [sv-cc] RE: VPI issues Bassam Tabbara (Sun Oct 21 2007 - 13:07:17 PDT)
- [sv-cc] Topic for agenda Jim Vellenga (Mon Oct 22 2007 - 12:27:45 PDT)
- [sv-cc] SV-CC agenda for 10/24/2007 Charlie Dawson (Tue Oct 23 2007 - 13:55:56 PDT)
- [sv-cc] Reminder: Need to have plans ready for next P1800 meeting Charlie Dawson (Tue Oct 23 2007 - 14:02:50 PDT)
- [sv-cc] Read API Bassam Tabbara (Tue Oct 23 2007 - 19:56:01 PDT)
- [sv-cc] Why is a vpiParameter traversable? Jim Vellenga (Wed Oct 24 2007 - 06:50:45 PDT)
- [sv-cc] Another read API Mantis item Jim Vellenga (Wed Oct 24 2007 - 07:24:41 PDT)
- [sv-cc] SV-CC Meeting Minutes for 10/24/2007 Charlie Dawson (Thu Oct 25 2007 - 08:33:07 PDT)
- [sv-cc] Updated SV-CC Mantis Items Charlie Dawson (Thu Oct 25 2007 - 12:34:20 PDT)
- [sv-cc] RE: VPI issues Lisa Piper (Mon Oct 29 2007 - 08:59:23 PDT)
- [sv-cc] RE: VPI issues Bassam Tabbara (Mon Oct 29 2007 - 13:41:23 PDT)
- [sv-cc] RE: VPI issues Lisa Piper (Mon Oct 29 2007 - 13:48:59 PDT)
- [sv-cc] RE: VPI issues Lisa Piper (Mon Oct 29 2007 - 20:22:24 PDT)
- [sv-cc] RE: VPI issues Bassam Tabbara (Mon Oct 29 2007 - 22:01:19 PDT)
- [sv-cc] Schedule for our remaining items. Charlie Dawson (Tue Nov 06 2007 - 11:25:28 PST)
- [sv-cc] Meeting schedule going forward. Charlie Dawson (Tue Nov 06 2007 - 11:31:12 PST)
- [sv-cc] SV-CC agenda for 11/07/2007 Charlie Dawson (Tue Nov 06 2007 - 11:32:52 PST)
- [sv-cc] Proposal for Mantis item #2009 updated Chuck Berking (Wed Nov 07 2007 - 11:26:51 PST)
- [sv-cc] RE: [SystemVerilog P1800 0001751]: Clarify vpiParent for part selects Jim Vellenga (Wed Nov 07 2007 - 12:10:08 PST)
- [sv-cc] RE: [SystemVerilog P1800 0001751]: Clarify vpiParent for part selects Bresticker, Shalom (Wed Nov 07 2007 - 12:13:17 PST)
- [sv-cc] Packed arrays proposal (Mantis #1230) uploaded Chuck Berking (Wed Nov 07 2007 - 13:51:48 PST)
- [sv-cc] RE: [SystemVerilog P1800 0001648]: Default reset for assertions Jim Vellenga (Thu Nov 08 2007 - 07:06:12 PST)
- [sv-cc] Table A1 Bresticker, Shalom (Thu Nov 08 2007 - 07:14:13 PST)
- [sv-cc] Value change callbacks with variable indexes -- and (not) for automatic variables Jim Vellenga (Thu Nov 08 2007 - 08:44:53 PST)
- [sv-cc] SV-CC Meeting Minutes for 11/07/2007 Charlie Dawson (Fri Nov 09 2007 - 12:37:22 PST)
- [sv-cc] vpiConcatOp and Mantis 1702 Jonathan Bromley (Mon Nov 19 2007 - 01:51:25 PST)
- [sv-cc] Who will be able to make Wednesday's meeting? Charlie Dawson (Mon Nov 19 2007 - 09:23:00 PST)
- [sv-cc] RE: [P1800] SV-CC items which already have proposals Jim Vellenga (Tue Nov 20 2007 - 07:10:21 PST)
- [sv-cc] Tomorrow's meeting is canceled Charlie Dawson (Tue Nov 20 2007 - 11:19:24 PST)
- [sv-cc] Mark Item 2086 as duplicate of 1942? Jim Vellenga (Tue Nov 20 2007 - 12:35:08 PST)
- [sv-cc] Updated proposal for 1752 ... Jim Vellenga (Tue Nov 20 2007 - 12:45:22 PST)
- [sv-cc] Mantis item and proposal for vpi_compare_objects() Jim Vellenga (Tue Nov 20 2007 - 13:03:22 PST)
- [sv-cc] Duplicate Mantis items for vpi_put_value() to dynamic objects Jim Vellenga (Tue Nov 20 2007 - 13:06:57 PST)
- [sv-cc] Added proposal for Mantis item 2216 Jim Vellenga (Tue Nov 20 2007 - 13:26:31 PST)
- [sv-cc] comment on 1570 John Havlicek (Tue Nov 27 2007 - 15:48:16 PST)
- RE: [sv-cc] comment on 1570 -- true & thanks Ralph Duncan (Tue Nov 27 2007 - 16:43:33 PST)
- [sv-cc] [Fwd: SV-CC status] Charlie Dawson (Tue Dec 04 2007 - 15:29:02 PST)
- [sv-cc] SV-CC agenda for 12/05/2007 Charlie Dawson (Tue Dec 04 2007 - 15:32:37 PST)
- [sv-cc] DPI disable -- converging on fundamentals Ralph Duncan (Wed Dec 05 2007 - 10:40:14 PST)
- [sv-cc] Proposals: Expressions with side effects Jim Vellenga (Fri Dec 07 2007 - 11:18:37 PST)
- [sv-cc] SV-CC Meeting Minutes for 12/05/2007 Charlie Dawson (Fri Dec 07 2007 - 14:26:15 PST)
- [sv-cc] Handle persistence as separate issue Jim Vellenga (Mon Dec 10 2007 - 11:24:30 PST)
- [sv-cc] mantis 1503 John Havlicek (Thu Dec 13 2007 - 06:45:20 PST)
- RE: [sv-ac] RE: [sv-cc] mantis 1503 Bassam Tabbara (Mon Dec 17 2007 - 08:25:30 PST)
- [sv-cc] changes for 1503 uploaded Lisa Piper (Mon Dec 17 2007 - 15:15:02 PST)
- [sv-cc] RE: changes for 1503 uploaded Bassam Tabbara (Mon Dec 17 2007 - 15:30:25 PST)
- [sv-cc] RE: changes for 1503 uploaded Lisa Piper (Mon Dec 17 2007 - 15:49:05 PST)
- [sv-cc] Re: changes for 1503 uploaded Bassam Tabbara (Mon Dec 17 2007 - 16:10:12 PST)
- [sv-cc] RE: changes for 1503 uploaded Lisa Piper (Mon Dec 17 2007 - 18:50:25 PST)
- [sv-cc] RE: changes for 1503 uploaded Jim Vellenga (Tue Dec 18 2007 - 08:36:56 PST)
- [sv-cc] RE: changes for 1503 uploaded Jim Vellenga (Tue Dec 18 2007 - 08:43:44 PST)
- [sv-cc] [sv-ac] RE: changes for 1503 uploaded Bassam Tabbara (Tue Dec 18 2007 - 09:51:03 PST)
- [sv-cc] RE: changes for 1503 uploaded Lisa Piper (Tue Dec 18 2007 - 10:29:56 PST)
- [sv-cc] SV-CC agenda for 12/19/2007 Charlie Dawson (Tue Dec 18 2007 - 12:43:13 PST)
- [sv-cc] RE: changes for 1503 uploaded Bassam Tabbara (Tue Dec 18 2007 - 11:56:11 PST)
- [sv-cc] Mixed-language call chains: Disable States Ralph Duncan (Tue Dec 18 2007 - 13:54:45 PST)
- [sv-cc] 1503 Assertion VPI update Lisa Piper (Tue Dec 18 2007 - 14:59:52 PST)
- [sv-cc] RE: 1503 Assertion VPI update Bassam Tabbara (Tue Dec 18 2007 - 15:25:38 PST)
- [sv-cc] mantis item 1898 Francoise Martinolle (Thu Dec 20 2007 - 09:17:40 PST)
- [sv-cc] mantis item 1757 Francoise Martinolle (Thu Dec 20 2007 - 09:26:33 PST)
- [sv-cc] SV-CC Meeting Minutes for 12/19/2007 Charlie Dawson (Thu Dec 20 2007 - 12:55:49 PST)
- [sv-cc] my action item re: SV-CC schedule John Shields (Fri Dec 21 2007 - 10:12:56 PST)
- [sv-cc] Technical Committee schedule updates from Working Group Neil Korpusik (Sat Dec 22 2007 - 19:51:46 PST)
- [sv-cc] SV-CC agenda for 01/02/2008 Charlie Dawson (Tue Jan 01 2008 - 19:09:37 PST)
- [sv-cc] Mantis item #2226 Michael Rohleder (Wed Jan 02 2008 - 08:17:35 PST)
- [sv-cc] my action item re: SV-CC schedule John Shields (Wed Jan 02 2008 - 08:42:21 PST)
- [Fwd: [sv-cc] my action item re: SV-CC schedule] John Shields (Wed Jan 02 2008 - 09:04:22 PST)
- [sv-cc] SV-CC Meeting Minutes for 01/02/2008 Charlie Dawson (Wed Jan 02 2008 - 14:51:06 PST)
- [sv-cc] RE: [sv-bc] ref can be used as formal argument of exported task/function? Brad Pierce (Mon Jan 14 2008 - 08:10:15 PST)
- [sv-cc] RE: [sv-bc] ref can be used as formal argument of exported task/function? Warmke, Doug (Mon Jan 14 2008 - 09:06:37 PST)
- [sv-cc] SV-CC agenda for 01/16/2008 Charlie Dawson (Tue Jan 15 2008 - 14:29:37 PST)
- [sv-cc] Discussing a face to face meeting at DVCon Charlie Dawson (Tue Jan 15 2008 - 14:33:05 PST)
- [sv-cc] SV-CC Meeting Minutes for 01/16/2008 Charlie Dawson (Wed Jan 16 2008 - 12:07:38 PST)
- [sv-cc] Confusion about the multidimensional open array in packed part of the formal argument of imported function. Dhiraj Kumar Prasad (Wed Jan 16 2008 - 21:00:47 PST)
- [sv-cc] DPI imported task can have dynamic array as formal argument?? Dhiraj Kumar Prasad (Wed Jan 16 2008 - 21:05:47 PST)
- RE: [sv-bc] RE: [sv-cc] DPI imported task can have dynamic array as formal argument?? Warmke, Doug (Thu Jan 17 2008 - 10:09:36 PST)
- [sv-cc] SV-CC face to face meeting in San Jose, 2/19 and 2/22 Charlie Dawson (Fri Jan 18 2008 - 11:10:58 PST)
- [sv-cc] Mantis item 1648 contains some VPI diagram updates Neil Korpusik (Wed Jan 23 2008 - 18:11:06 PST)
- [sv-cc] 1503 changes to support 1667 John Havlicek (Thu Jan 24 2008 - 12:45:51 PST)
- [sv-cc] no VPI changes needed for 1668 John Havlicek (Thu Jan 24 2008 - 11:44:03 PST)
- [sv-cc] RE: [sv-ac] no VPI changes needed for 1668 Bassam Tabbara (Thu Jan 24 2008 - 13:37:11 PST)
- [sv-cc] Mantis item 1682 makes some updates to callbacks Neil Korpusik (Thu Jan 24 2008 - 17:31:46 PST)
- [sv-cc] Mantis item 2037 has some updates that may affect sv-cc Neil Korpusik (Thu Jan 24 2008 - 17:37:06 PST)
- [sv-cc] Mantis item 1702 has some updates that may affect sv-cc Neil Korpusik (Thu Jan 24 2008 - 17:45:33 PST)
- [sv-cc] Item 1503: assertions and clocking blocks Jim Vellenga (Fri Jan 25 2008 - 08:35:48 PST)
- [sv-cc] Mantis item 1503: Redoing the formal argument declarations Jim Vellenga (Fri Jan 25 2008 - 11:17:52 PST)
- [sv-cc] RE: Mantis item 1503: Redoing the formal argument declarations Bassam Tabbara (Fri Jan 25 2008 - 11:44:16 PST)
- [sv-cc] SV-CC agenda for 01/23/2008 Charlie Dawson (Tue Jan 29 2008 - 15:11:14 PST)
- [sv-cc] Minor update to packed arrays proposal (#1230) Chuck Berking (Wed Jan 30 2008 - 07:57:32 PST)
- [sv-cc] vpi_get_value_array proposal uploaded Chuck Berking (Thu Jan 31 2008 - 16:20:26 PST)
- [sv-cc] proactive cooperation with SV-CC John Havlicek (Thu Jan 31 2008 - 18:34:01 PST)
- [sv-cc] request to review 2005 John Havlicek (Tue Feb 05 2008 - 10:32:03 PST)
- [sv-cc] SV-CC Meeting Minutes for 01/30/2008 Charlie Dawson (Tue Feb 05 2008 - 14:43:05 PST)
- [sv-cc] SV-CC Data Read API meeting agenda for 02/06/2008 Charlie Dawson (Tue Feb 05 2008 - 15:06:54 PST)
- [sv-cc] request to review 1599 John Havlicek (Wed Feb 06 2008 - 07:53:37 PST)
- [sv-cc] meeting Francoise Martinolle (Wed Feb 06 2008 - 10:02:57 PST)
- [sv-cc] information on SV-AC items and efforts John Havlicek (Mon Feb 11 2008 - 10:10:29 PST)
- [sv-cc] SV-CC agenda for 02/13/2008 Charlie Dawson (Tue Feb 12 2008 - 14:24:06 PST)
- [sv-cc] request to review and approve 2182 John Havlicek (Tue Feb 12 2008 - 21:01:56 PST)
- [sv-cc] request to review 2250 John Havlicek (Tue Feb 12 2008 - 21:07:49 PST)
- [sv-cc] SV-CC Meeting Minutes for 02/13/2008 Charlie Dawson (Fri Feb 15 2008 - 16:13:33 PST)
- [sv-cc] {Disarmed} SV-CC Face-To-Face Meetings During DVCON John Shields (Fri Feb 15 2008 - 18:40:36 PST)
- [sv-cc] Meeting invitation: SV-CC Dynamic Objects - Part 2 John Shields (Fri Feb 15 2008 - 18:44:46 PST)
- [sv-cc] Meeting invitation: SV-CC Dynamic Objects - Part 1 John Shields (Fri Feb 15 2008 - 18:44:43 PST)
- [sv-cc] Re: [sv-ac] information on SV-AC items and efforts Neil Korpusik (Sat Feb 16 2008 - 15:46:25 PST)
- [sv-cc] Proposed resolutions for 2-28 meeting Jim Vellenga (Thu Feb 21 2008 - 07:56:05 PST)
- [sv-cc] Proposed resolutions for 2-28 meeting Jim Vellenga (Fri Feb 22 2008 - 06:20:56 PST)
- [sv-cc] SV-CC agenda for 02/27/2008 Charlie Dawson (Tue Feb 26 2008 - 14:25:55 PST)
- [sv-cc] updated VPI efforts John Havlicek (Tue Feb 26 2008 - 17:40:33 PST)
- [sv-cc] SV-CC Meeting Minutes for 02/27/2008 Charlie Dawson (Wed Feb 27 2008 - 13:25:54 PST)
- [sv-cc] Packed array proposal (Mantis #1230) updated Chuck Berking (Thu Feb 28 2008 - 14:18:56 PST)
- [sv-cc] Question about VPI callback existance after execution Krzysztof Konopko (Thu Feb 28 2008 - 22:19:39 PST)
- [sv-cc] VPI time related callbacks: specified time should be relative or absolute? Krzysztof Konopko (Thu Feb 28 2008 - 22:43:24 PST)
- [sv-cc] Mail reflectors will be unreliable on Saturday Neil Korpusik (Fri Feb 29 2008 - 16:09:27 PST)
- [sv-cc] The reflector is back up Neil Korpusik (Sat Mar 01 2008 - 19:16:14 PST)
- [sv-cc] SV-AC and SV-BC collaboration mode Korchemny, Dmitry (Tue Mar 04 2008 - 17:10:47 PST)
- [sv-cc] Comments on 2182 Korchemny, Dmitry (Tue Mar 04 2008 - 17:22:26 PST)
- [sv-cc] Meeting plans Charlie Dawson (Wed Mar 05 2008 - 08:05:43 PST)
- [sv-cc] RE: [sv-ac] SV-AC and SV-BC collaboration mode Lisa Piper (Wed Mar 05 2008 - 14:27:35 PST)
- [sv-cc] SV-AC and SV-BC collaboration mode (resent) Korchemny, Dmitry (Wed Mar 05 2008 - 19:11:07 PST)
- [sv-cc] RE: [sv-ac] SV-AC and SV-BC collaboration mode Korchemny, Dmitry (Wed Mar 05 2008 - 19:11:18 PST)
- [sv-cc] Re: [sv-ac] SV-AC and SV-BC collaboration mode (resent) John Havlicek (Thu Mar 06 2008 - 07:30:16 PST)
- [sv-cc] SV-AC and SV-BC collaboration mode (resent) Korchemny, Dmitry (Thu Mar 06 2008 - 22:46:41 PST)
- [sv-cc] RE: [sv-ac] SV-AC and SV-BC collaboration mode (resent) Korchemny, Dmitry (Thu Mar 06 2008 - 22:46:55 PST)
- [sv-cc] SV-AC and SV-CC collaboration mode (resent) Korchemny, Dmitry (Thu Mar 06 2008 - 23:13:58 PST)
- [sv-cc] Mantis 1465 Bresticker, Shalom (Fri Mar 07 2008 - 00:17:07 PST)
- [sv-cc] Mantis 1465 Bresticker, Shalom (Fri Mar 07 2008 - 00:15:22 PST)
- [sv-cc] FW: [SystemVerilog P1800 0002005]: Solution for glitch problem in immediate assertions Bresticker, Shalom (Sat Mar 08 2008 - 09:57:01 PST)
- [sv-cc] Correction to 2005 (deferred assertions), need SV-CC feedback ASAP Seligman, Erik (Mon Mar 10 2008 - 08:56:30 PDT)
- [sv-cc] Fixes for Mantis item 2182 (checker VPI) Seligman, Erik (Mon Mar 10 2008 - 09:54:22 PDT)
- [sv-cc] New keywords in SV-AC proposals Stuart Sutherland (Mon Mar 10 2008 - 14:06:57 PDT)
- [sv-cc] RE: [sv-ac] New keywords in SV-AC proposals Eduard Cerny (Mon Mar 10 2008 - 14:13:52 PDT)
- [sv-cc] RE: [sv-ac] New keywords in SV-AC proposals Stuart Sutherland (Mon Mar 10 2008 - 15:32:02 PDT)
- [sv-cc] RE: [sv-ac] New keywords in SV-AC proposals Eduard Cerny (Tue Mar 11 2008 - 06:51:52 PDT)
- [sv-cc] 2182: version with fixed pictures for my changes of yesterday Seligman, Erik (Tue Mar 11 2008 - 09:40:26 PDT)
- [sv-cc] Re: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Steven Sharp (Tue Mar 11 2008 - 13:52:02 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Brad Pierce (Tue Mar 11 2008 - 14:15:58 PDT)
- [sv-cc] SV-CC agenda for 03/12/2008 - Note Daylight Savings Time Change! Charlie Dawson (Tue Mar 11 2008 - 18:39:44 PDT)
- [sv-cc] Re: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Gordon Vreugdenhil (Tue Mar 11 2008 - 20:15:16 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Bustan, Doron (Tue Mar 11 2008 - 23:15:50 PDT)
- [sv-cc] RE: [sv-ec] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Bustan, Doron (Wed Mar 12 2008 - 04:54:19 PDT)
- [sv-cc] RE: [sv-ec] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Korchemny, Dmitry (Wed Mar 12 2008 - 04:53:22 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Eduard Cerny (Wed Mar 12 2008 - 05:41:34 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Bustan, Doron (Wed Mar 12 2008 - 05:56:19 PDT)
- [sv-cc] Re: [sv-ec] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals John Havlicek (Wed Mar 12 2008 - 06:06:18 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Eduard Cerny (Wed Mar 12 2008 - 06:19:01 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Bustan, Doron (Wed Mar 12 2008 - 06:23:51 PDT)
- [sv-cc] SV-CC agenda for 03/12/2008 - Note Daylight Savings Time Change! Charlie Dawson (Wed Mar 12 2008 - 07:05:31 PDT)
- [sv-cc] What time is returned from an after delay callback John Shields (Wed Mar 12 2008 - 09:42:25 PDT)
- [sv-cc] Handle lifetimes for one-time callbacks Jim Vellenga (Wed Mar 12 2008 - 11:05:09 PDT)
- [sv-cc] Updated proposal for 2216 ... Jim Vellenga (Wed Mar 12 2008 - 11:20:06 PDT)
- [sv-cc] RE: [sv-ec] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Korchemny, Dmitry (Wed Mar 12 2008 - 12:13:19 PDT)
- [sv-cc] RE: [sv-ec] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Bustan, Doron (Wed Mar 12 2008 - 23:22:09 PDT)
- [sv-cc] 2005 (deferred assertions) modified, approved by SV-CC Seligman, Erik (Thu Mar 13 2008 - 08:09:28 PDT)
- [sv-cc] 2182 (Checker VPI) modified based on SV-CC requests Seligman, Erik (Thu Mar 13 2008 - 08:36:53 PDT)
- [sv-cc] Re: [sv-ac] 2005 (deferred assertions) modified, approved by SV-CC John Havlicek (Thu Mar 13 2008 - 11:57:57 PDT)
- [sv-cc] Re: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Steven Sharp (Thu Mar 13 2008 - 16:54:53 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Bresticker, Shalom (Fri Mar 14 2008 - 00:42:32 PDT)
- [sv-cc] Re: [sv-ec] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Gordon Vreugdenhil (Fri Mar 14 2008 - 01:04:42 PDT)
- [sv-cc] SV-CC Meeting Minutes for 03/12/2008 Charlie Dawson (Fri Mar 14 2008 - 13:16:37 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Steven Sharp (Tue Mar 18 2008 - 14:00:33 PDT)
- [sv-cc] Re: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Steven Sharp (Tue Mar 18 2008 - 14:19:47 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Steven Sharp (Tue Mar 18 2008 - 14:42:48 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Eduard Cerny (Tue Mar 18 2008 - 14:50:03 PDT)
- [sv-cc] Re: [sv-ec] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Steven Sharp (Tue Mar 18 2008 - 15:16:19 PDT)
- [sv-cc] SV-CC agenda for 03/19/2008 Charlie Dawson (Tue Mar 18 2008 - 15:19:50 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Steven Sharp (Tue Mar 18 2008 - 16:15:39 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Bresticker, Shalom (Tue Mar 18 2008 - 20:34:18 PDT)
- [sv-cc] New mantis item Jim Vellenga (Wed Mar 19 2008 - 12:21:16 PDT)
- [sv-cc] updated mantis item 2226 with proposal Shields, John (Wed Mar 19 2008 - 19:19:43 PDT)
- [sv-cc] Mantis item 2226: Value change callback changes Jim Vellenga (Thu Mar 20 2008 - 06:27:16 PDT)
- [sv-cc] Mantis item 2226: constraints Jim Vellenga (Thu Mar 20 2008 - 07:24:23 PDT)
- [sv-cc] Mantis item 2226: Memory allocation schemes Jim Vellenga (Thu Mar 20 2008 - 07:56:00 PDT)
- [sv-cc] Re: [sv-ec] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Gordon Vreugdenhil (Thu Mar 20 2008 - 07:47:35 PDT)
- [sv-cc] RE: Mantis item 2226: Value change callback changes Shields, John (Thu Mar 20 2008 - 08:33:24 PDT)
- [sv-cc] Re: Mantis item 2226: Memory allocation schemes John Shields (Thu Mar 20 2008 - 11:25:45 PDT)
- [sv-cc] Correction inputs for clause 36 dyn objects proposal Chuck Berking (Thu Mar 20 2008 - 13:27:15 PDT)
- [sv-cc] RE: Correction inputs for clause 36 dyn objects proposal Shields, John (Thu Mar 20 2008 - 14:15:11 PDT)
- [sv-cc] RE: [sv-ec] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Mark Hartoog (Thu Mar 20 2008 - 11:23:24 PDT)
- [sv-cc] Re: [sv-ec] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Gordon Vreugdenhil (Thu Mar 20 2008 - 15:40:35 PDT)
- [sv-cc] FW: Feedback on 2226 Francoise Martinolle (Thu Mar 20 2008 - 19:14:29 PDT)
- [sv-cc] Re: FW: Feedback on 2226 John Shields (Fri Mar 21 2008 - 09:19:16 PDT)
- [sv-cc] Revised completed Mantis proposal for 1688 Chuck Berking (Fri Mar 21 2008 - 10:04:18 PDT)
- [sv-cc] Re: FW: Feedback on 2226 John Shields (Fri Mar 21 2008 - 10:41:42 PDT)
- [sv-cc] Names proposal uploaded Chuck Berking (Mon Mar 24 2008 - 09:18:49 PDT)
- [sv-cc] SV-CC Meeting Minutes for 03/19/2008 Charlie Dawson (Mon Mar 24 2008 - 10:08:00 PDT)
- [sv-cc] updated mantis item 2226 with proposal corrections Shields, John (Tue Mar 25 2008 - 11:16:50 PDT)
- [sv-cc] SV-CC agenda for 03/26/2008 Charlie Dawson (Tue Mar 25 2008 - 15:10:31 PDT)
- [sv-cc] Minor update to Names proposal (#1593) Chuck Berking (Tue Mar 25 2008 - 15:16:57 PDT)
- [sv-cc] Mantis item 985 -- fixed but abandoned Jim Vellenga (Wed Mar 26 2008 - 07:30:15 PDT)
- [sv-cc] SV-CC meeting is starting now Charlie Dawson (Wed Mar 26 2008 - 08:34:01 PDT)
- [sv-cc] SV-CC Meeting Minutes for 03/26/2008 Charlie Dawson (Wed Mar 26 2008 - 14:20:24 PDT)
- [sv-cc] RE: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Steven Sharp (Thu Mar 27 2008 - 17:42:09 PDT)
- [sv-cc] Re: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals John Havlicek (Fri Mar 28 2008 - 12:38:31 PDT)
- [sv-cc] Re: [sv-bc] RE: [sv-ac] New keywords in SV-AC proposals Steven Sharp (Fri Mar 28 2008 - 14:21:39 PDT)
- [sv-cc] New P1800 technical committee - Call For Participation Neil Korpusik (Fri Mar 28 2008 - 17:58:41 PDT)
- [sv-cc] RE: [sv-bc] New P1800 technical committee - Clarification on current SV-AC items Seligman, Erik (Mon Mar 31 2008 - 10:14:18 PDT)
- [sv-cc] updated mantis 1834 John Shields (Mon Mar 31 2008 - 16:52:32 PDT)
- [sv-cc] RE: [sv-ac] RE: [sv-bc] New P1800 technical committee - Clarification on current SV-AC items Bresticker, Shalom (Tue Apr 01 2008 - 01:10:07 PDT)
- [sv-cc] RE: [sv-ac] RE: [sv-bc] New P1800 technical committee - Clarification on current SV-AC items Eduard Cerny (Tue Apr 01 2008 - 06:13:45 PDT)
- [sv-cc] Call for Nominations for the 2008 Accellera Technical Excellence Award Karen Pieper (Tue Apr 01 2008 - 13:24:25 PDT)
- [sv-cc] SV-CC agenda for 04/02/2008 Charlie Dawson (Tue Apr 01 2008 - 14:39:31 PDT)
- [sv-cc] realtime is by default signed or unsigned. Dhiraj Kumar Prasad (Tue Apr 01 2008 - 22:39:04 PDT)
- [sv-cc] RE: [sv-bc] realtime is by default signed or unsigned. Bresticker, Shalom (Wed Apr 02 2008 - 03:43:55 PDT)
- [sv-cc] Mantis items 1503 and 2237 (VPI parts) Jim Vellenga (Wed Apr 02 2008 - 07:15:10 PDT)
- [sv-cc] RE: Mantis items 1503 and 2237 (VPI parts) Bassam Tabbara (Wed Apr 02 2008 - 08:29:12 PDT)
- [sv-cc] Re: [sv-bc] realtime is by default signed or unsigned. Steven Sharp (Wed Apr 02 2008 - 09:00:45 PDT)
- [sv-cc] Synch up Mantis item 2250 with 1932 (next -> nexttime) Jim Vellenga (Wed Apr 02 2008 - 07:59:57 PDT)
- [sv-cc] Mantis #1688 proposal finalized w. friendly amendment Chuck Berking (Wed Apr 02 2008 - 15:47:59 PDT)
- [sv-cc] SV-CC Meeting Minutes for 04/02/2008 Charlie Dawson (Wed Apr 02 2008 - 19:17:42 PDT)
- [sv-cc] Re: [sv-bc] realtime is by default signed or unsigned. Dhiraj Kumar Prasad (Wed Apr 02 2008 - 21:41:20 PDT)
- [sv-cc] Mantis #2346 entered Chuck Berking (Thu Apr 03 2008 - 08:09:30 PDT)
- [sv-cc] Summary of sv-ac-relevant action items from 4/2 sv-cc mtg Seligman, Erik (Thu Apr 03 2008 - 08:03:15 PDT)
- [sv-cc] Proposal 2250 (LTL VPI) updated Seligman, Erik (Thu Apr 03 2008 - 10:23:22 PDT)
- [sv-cc] Re: [sv-bc] realtime is by default signed or unsigned. Steven Sharp (Thu Apr 03 2008 - 12:42:15 PDT)
- [sv-cc] RE: [sv-ac] Summary of sv-ac-relevant action items from 4/2 sv-cc mtg Lisa Piper (Thu Apr 03 2008 - 16:45:41 PDT)
- [sv-cc] FW: [sv-bc] Ref args Bresticker, Shalom (Thu Apr 03 2008 - 23:32:01 PDT)
- [sv-cc] Action item for SV-CC before next week Seligman, Erik (Fri Apr 04 2008 - 07:22:56 PDT)
- [sv-cc] New P1800 technical committee - Call For Participation - reminder Neil Korpusik (Sat Apr 05 2008 - 20:12:19 PDT)
- [sv-cc] RE: [sv-bc] New P1800 technical committee - Call For Participation - reminder Rich, Dave (Sat Apr 05 2008 - 20:37:14 PDT)
- RE: [sv-bc] RE: [sv-cc] New P1800 technical committee - Call For Participation - reminder Korchemny, Dmitry (Sat Apr 05 2008 - 23:40:22 PDT)
- Re: [P1800] RE: [sv-cc] New P1800 technical committee - Call For Participation - reminder John Havlicek (Mon Apr 07 2008 - 06:49:39 PDT)
- RE: [P1800] RE: [sv-cc] New P1800 technical committee - Call For Participation - reminder Rich, Dave (Mon Apr 07 2008 - 07:52:20 PDT)
- RE: [sv-ec] RE: [P1800] RE: [sv-cc] New P1800 technical committee - Call For Participation - reminder Bresticker, Shalom (Mon Apr 07 2008 - 08:02:37 PDT)
- RE: [sv-bc] RE: [sv-ec] RE: [P1800] RE: [sv-cc] New P1800 technical committee - Call For Participation - reminder Rich, Dave (Mon Apr 07 2008 - 08:17:46 PDT)
- [sv-cc] SV-CC Action Item: Pls take another look at 2173, 2326, 2327 Seligman, Erik (Mon Apr 07 2008 - 08:18:35 PDT)
- [sv-cc] 1503 and 2237 Bassam Tabbara (Tue Apr 08 2008 - 09:54:40 PDT)
- [sv-cc] SV-CC agenda for 04/09/2008 Charlie Dawson (Tue Apr 08 2008 - 12:50:42 PDT)
- [sv-cc] Mantis #2355 logged re. putting values on forced nets Chuck Berking (Wed Apr 09 2008 - 10:52:13 PDT)
- [sv-cc] New SystemVerilog alias sv-sc Neil Korpusik (Wed Apr 09 2008 - 18:12:15 PDT)
- [sv-cc] SV-CC Meeting Minutes for 04/09/2008 Charlie Dawson (Thu Apr 10 2008 - 14:13:41 PDT)
- [sv-cc] Meeting next week? Charlie Dawson (Wed Apr 16 2008 - 13:32:42 PDT)
- [sv-cc] RE: [sv-ac] call to vote on 1503 Lisa Piper (Thu Apr 17 2008 - 16:23:10 PDT)
- [sv-cc] RE: [sv-ac] call to vote on 1503 Bassam Tabbara (Thu Apr 17 2008 - 17:07:20 PDT)
- [sv-cc] sv-sc Meeting agenda - April 21 Neil Korpusik (Sat Apr 19 2008 - 12:29:27 PDT)
- [sv-cc] RE: [P1800] sv-sc Meeting agenda - April 21 Francoise Martinolle (Mon Apr 21 2008 - 09:05:33 PDT)
- [sv-cc] RE: [P1800] sv-sc Meeting agenda - April 21 Bresticker, Shalom (Mon Apr 21 2008 - 09:23:40 PDT)
- [sv-cc] Champions feedback from April 10 conference call Neil Korpusik (Tue Apr 22 2008 - 08:53:53 PDT)
- [sv-cc] RE: [sv-champions] Champions feedback from April 10 conference call Bresticker, Shalom (Tue Apr 22 2008 - 11:33:53 PDT)
- [sv-cc] Notes from the editor regarding P1800/D5 Stuart Sutherland (Mon Apr 28 2008 - 08:39:30 PDT)
- [sv-cc] Fw: IEEE P1800 Draft 5 Available For Download Brophy, Dennis (Tue Apr 29 2008 - 18:26:04 PDT)
- [sv-cc] Status update from the 05/01/2008 P1800 meeting Charlie Dawson (Thu May 01 2008 - 09:47:58 PDT)
- [sv-cc] Update to Mantis item 2143 Jim Vellenga (Mon May 05 2008 - 11:47:53 PDT)
- [sv-cc] bind in VPI Lisa Piper (Mon May 05 2008 - 13:31:22 PDT)
- [sv-cc] RE: bind in VPI Bassam Tabbara (Mon May 05 2008 - 14:09:19 PDT)
- [sv-cc] SV-CC agenda for 05/07/2008 Charlie Dawson (Tue May 06 2008 - 13:52:16 PDT)
- [sv-cc] Annex K Bresticker, Shalom (Wed May 07 2008 - 00:07:26 PDT)
- [sv-cc] Meeting starting at noon EDT today Charlie Dawson (Wed May 07 2008 - 08:11:02 PDT)
- [sv-cc] [Fwd: vpiAutomatics] Charlie Dawson (Wed May 07 2008 - 09:57:20 PDT)
- [sv-cc] SV-CC Meeting Minutes for 05/07/2008 Charlie Dawson (Wed May 07 2008 - 11:47:30 PDT)
- [sv-cc] Item #1230 set to feedback Chuck Berking (Thu May 08 2008 - 07:08:26 PDT)
- [sv-cc] Mantis #1688 Frame version of proposal uploaded Chuck Berking (Fri May 09 2008 - 07:44:46 PDT)
- [sv-cc] Aligning VIP TSC & SV-CC Conference calls Michael Rohleder (Wed May 14 2008 - 10:50:16 PDT)
- [sv-cc] Heads up -- VPI object model for type parameters Jim Vellenga (Wed May 14 2008 - 11:16:49 PDT)
- [sv-cc] SV-CC agenda for 05/21/2008 Charlie Dawson (Tue May 20 2008 - 14:08:57 PDT)
- [sv-cc] SV-CC Meeting Minutes for 05/21/2008 Charlie Dawson (Wed May 21 2008 - 12:27:06 PDT)
- [sv-cc] Action item completed Jim Vellenga (Wed May 21 2008 - 13:57:02 PDT)
- [sv-cc] vpiDirection Bresticker, Shalom (Thu May 22 2008 - 08:21:47 PDT)
- [sv-cc] cbNBASync and cbAtEndOfSimTime definitions missing? Moorhouse, Abigail (Wed May 28 2008 - 13:18:08 PDT)
- [sv-cc] SV-CC agenda for 06/04/2008 Charlie Dawson (Tue Jun 03 2008 - 13:30:45 PDT)
- [sv-cc] 2226 item has been updated John Shields (Tue Jun 03 2008 - 14:49:13 PDT)
- [sv-cc] Mantis 1835 proposed changes - rough draft John Shields (Tue Jun 03 2008 - 18:15:47 PDT)
- [sv-cc] Query related with clocking block declared inside program block. Dhiraj Kumar Prasad (Tue Jun 03 2008 - 22:27:16 PDT)
- [sv-cc] RE: [sv-bc] Query related with clocking block declared inside program block. Arturo Salz (Wed Jun 04 2008 - 00:16:56 PDT)
- [sv-cc] Simpler proposal for JEITA concerns Jim Vellenga (Wed Jun 04 2008 - 14:20:43 PDT)
- [sv-cc] SV-CC Meeting Minutes for 06/04/2008 Charlie Dawson (Wed Jun 04 2008 - 14:23:19 PDT)
- [sv-cc] FW: vpiAssertion - 1800- clarification Lisa Piper (Thu Jun 05 2008 - 07:32:52 PDT)
- [sv-cc] RE: vpiAssertion - 1800- clarification Bassam Tabbara (Thu Jun 05 2008 - 08:50:13 PDT)
- [sv-cc] Mantis items that need to be reviewed in Draft 6 Neil Korpusik (Fri Jun 13 2008 - 17:36:47 PDT)
- [sv-cc] No SV-CC meeting today Charlie Dawson (Wed Jun 18 2008 - 05:53:16 PDT)
- [sv-cc] IEEE P1800 Draft 6 Available for Download Brophy, Dennis (Wed Jun 18 2008 - 09:15:09 PDT)
- [sv-cc] mantis item 2099 Francoise Martinolle (Mon Jun 23 2008 - 09:44:03 PDT)
- [sv-cc] 2226 draft 6. Moorhouse, Abigail (Thu Jun 26 2008 - 10:03:25 PDT)
- [sv-cc] RE: 2226 draft 6. Jim Vellenga (Thu Jun 26 2008 - 10:11:35 PDT)
- [sv-cc] July 2nd SV-CC meeting is cancelled Charlie Dawson (Fri Jun 27 2008 - 09:55:43 PDT)
- [sv-cc] Marking 529 as duplicate Jim Vellenga (Fri Jun 27 2008 - 10:58:57 PDT)
- [sv-cc] VPI and Let, 2414 (also 1728 and 1503) Moorhouse, Abigail (Tue Jul 08 2008 - 12:44:21 PDT)
- [sv-cc] RE: [sv-sc] VPI and Let, 2414 (also 1728 and 1503) Seligman, Erik (Tue Jul 08 2008 - 14:13:59 PDT)
- [sv-cc] Feedback/issues re. #2182 (VPI Checkers proposal) Chuck Berking (Mon Jul 14 2008 - 15:43:15 PDT)
- [sv-cc] SV-CC agenda for 07/16/2008 Charlie Dawson (Tue Jul 15 2008 - 14:42:03 PDT)
- [sv-cc] Mantis item 742 has been moved to the feedback state Neil Korpusik (Tue Jul 15 2008 - 18:38:46 PDT)
- [sv-cc] Mantis 742 has been placed into the feedback state Neil Korpusik (Tue Jul 15 2008 - 18:50:42 PDT)
- [sv-cc] Mantis #2447 just filed Chuck Berking (Wed Jul 16 2008 - 07:56:24 PDT)
- PASSCODE WRONG ??? Re: [sv-cc] SV-CC agenda for 07/16/2008 Michael Rohleder (Wed Jul 16 2008 - 09:09:40 PDT)
- [sv-cc] FW: checkers question Seligman, Erik (Wed Jul 16 2008 - 11:20:28 PDT)
- [sv-cc] FW: Mantis #2447 just filed Chuck Berking (Thu Jul 17 2008 - 08:24:10 PDT)
- [sv-cc] New issue, new proposal (vpiVirtual for constraints) Jim Vellenga (Thu Jul 17 2008 - 11:20:07 PDT)
- [sv-cc] questions on Let VPI proposal Lisa Piper (Thu Jul 17 2008 - 12:13:49 PDT)
- [sv-cc] RE: [sv-sc] Call for email votes on several proposals; ballots due Mon 7/21/08, 7am. Lisa Piper (Fri Jul 18 2008 - 12:41:34 PDT)
- [sv-cc] Time to finish up all activities for this PAR Neil Korpusik (Sat Jul 19 2008 - 09:42:09 PDT)
- [sv-cc] SV-CC Meeting Minutes for 07/16/2008 Charlie Dawson (Wed Jul 23 2008 - 07:13:44 PDT)
- [sv-cc] SV-CC web site setup Charlie Dawson (Fri Jul 25 2008 - 11:45:43 PDT)
- [sv-cc] Next meeting will start 30 minutes early and run for 1.5 hours Charlie Dawson (Fri Jul 25 2008 - 11:52:43 PDT)
- [sv-cc] SV-CC Meeting agenda for 07/30/2008 Charlie Dawson (Tue Jul 29 2008 - 14:12:38 PDT)
- [sv-cc] [Fwd: assertion properties] Charlie Dawson (Wed Jul 30 2008 - 08:57:14 PDT)
- [sv-cc] [Fwd: Fw: MEC & Legal Review for P1800_D6] Charlie Dawson (Wed Jul 30 2008 - 10:11:16 PDT)
- [sv-cc] FrameMaker files uploaded Jim Vellenga (Wed Jul 30 2008 - 12:54:50 PDT)
- [sv-cc] SV-CC Meeting Minutes for 07/30/2008 Charlie Dawson (Mon Aug 04 2008 - 14:16:18 PDT)
- [sv-cc] RE: The proposal for Mantis time 1835 is a framemaker file Jim Vellenga (Wed Aug 06 2008 - 11:29:43 PDT)
- [sv-cc] Minutes from the Champion's Aug 7th conference call Neil Korpusik (Thu Aug 07 2008 - 18:25:16 PDT)
- [sv-cc] RE: [sv-champions] Minutes from the Champion's Aug 7th conference call Bresticker, Shalom (Thu Aug 07 2008 - 21:46:00 PDT)
- [sv-cc] RE: [sv-champions] Email vote - ending August 13th Bresticker, Shalom (Fri Aug 08 2008 - 03:37:45 PDT)
- [sv-cc] RE: [sv-champions] Email vote - ending August 13th Bresticker, Shalom (Sun Aug 10 2008 - 08:34:23 PDT)
- [sv-cc] SV-CC Meeting agenda for 08/13/2008 Charlie Dawson (Tue Aug 12 2008 - 11:22:59 PDT)
- [sv-cc] Email vote - ending August 13th John Havlicek (Wed Aug 13 2008 - 10:38:04 PDT)
- [sv-cc] Update to 742 Jim Vellenga (Wed Aug 13 2008 - 11:38:56 PDT)
- [sv-cc] Mantis items against Draft 6 which have not yet been reviewed Charlie Dawson (Thu Aug 14 2008 - 09:33:06 PDT)
- [sv-cc] Results of Champions email vote ending Aug 13th Neil Korpusik (Fri Aug 15 2008 - 18:03:48 PDT)
- [sv-cc] Re: [sv-sc] Results of Champions email vote ending Aug 13th Michael Burns (Mon Aug 18 2008 - 10:09:29 PDT)
- [sv-cc] Re: [sv-sc] Results of Champions email vote ending Aug 13th Thomas Thatcher (Mon Aug 18 2008 - 13:22:26 PDT)
- [sv-cc] Re: [sv-sc] Results of Champions email vote ending Aug 13th Brad Pierce (Mon Aug 18 2008 - 16:34:40 PDT)
- [sv-cc] Re: [sv-sc] Results of Champions email vote ending Aug 13th Thomas Thatcher (Tue Aug 19 2008 - 08:39:02 PDT)
- [sv-cc] Some query related with enum. Dhiraj Kumar Prasad (Mon Aug 25 2008 - 05:40:04 PDT)
- [sv-cc] DPI version Francoise Martinolle (Mon Aug 25 2008 - 12:38:54 PDT)
- [sv-cc] Mantis 1434 Jason Campbell (Mon Aug 25 2008 - 15:09:06 PDT)
- [sv-cc] query related with `timescale Dhiraj Kumar Prasad (Tue Aug 26 2008 - 00:37:29 PDT)
- [sv-cc] vpiName Bresticker, Shalom (Tue Aug 26 2008 - 06:45:10 PDT)
- [sv-cc] SV-CC meeting cancelled Charlie Dawson (Tue Aug 26 2008 - 22:03:22 PDT)
- [sv-cc] Report from 1800 working group Jim Vellenga (Thu Aug 28 2008 - 08:34:09 PDT)
- [sv-cc] Editing questions on new let diagrams Stuart Sutherland (Mon Sep 08 2008 - 18:41:09 PDT)
- [sv-cc] SV-CC Meeting Minutes for 08/13/2008 Charlie Dawson (Tue Sep 09 2008 - 14:03:57 PDT)
- [sv-cc] SV-CC Meeting agenda for 09/09/2008 Charlie Dawson (Tue Sep 09 2008 - 14:35:43 PDT)
- [sv-cc] SV-CC Meeting agenda for 09/09/2008[SPAM] Charlie Dawson (Wed Sep 10 2008 - 11:35:52 PDT)
- [sv-cc] SV-CC Meeting agenda for 09/09/2008[SPAM] Charlie Dawson (Wed Sep 10 2008 - 11:35:21 PDT)
- [sv-cc] SV-CC Meeting minutes for 09/10/2008 Charlie Dawson (Fri Sep 12 2008 - 08:33:09 PDT)
- [sv-cc] IEEE P1800 Draft 7 Available for Download Brophy, Dennis (Fri Sep 12 2008 - 11:33:41 PDT)
- [sv-cc] Draft 7 erratum in t_vpi_arrayvalue struct? Moorhouse, Abigail (Tue Sep 16 2008 - 11:14:10 PDT)
- [sv-cc] RE: Draft 7 erratum in t_vpi_arrayvalue struct? Moorhouse, Abigail (Tue Sep 16 2008 - 11:17:03 PDT)
- [sv-cc] Request for clarification Moorhouse, Abigail (Tue Sep 16 2008 - 13:54:53 PDT)
- [sv-cc] Mantis 2396 (edge) review Lisa Piper (Tue Sep 16 2008 - 15:49:04 PDT)
- [sv-cc] query related with event or operator of Verilog-2001. Dhiraj Kumar Prasad (Wed Sep 17 2008 - 04:42:46 PDT)
- [sv-cc] Mantis 1900 review, 17.5 - end Lisa Piper (Wed Sep 17 2008 - 07:35:05 PDT)
- [sv-cc] RE: [sv-bc] query related with event or operator of Verilog-2001. Bresticker, Shalom (Thu Sep 18 2008 - 06:16:04 PDT)
- [sv-cc] Re: [sv-bc] query related with event or operator of Verilog-2001. Steven Sharp (Thu Sep 18 2008 - 12:10:18 PDT)
- [sv-cc] Re: [sv-bc] query related with event or operator of Verilog-2001.[SPAM] Steven Sharp (Fri Sep 19 2008 - 11:56:58 PDT)
- [sv-cc] Re: [sv-bc] query related with event or operator of Verilog-2001.[SPAM] Steven Sharp (Fri Sep 19 2008 - 11:56:38 PDT)
- [sv-cc] mantis 2226 action completed Shields, John (Mon Sep 29 2008 - 11:07:20 PDT)
- [sv-cc] dowloading draft 7 Francoise Martinolle (Wed Oct 01 2008 - 10:09:34 PDT)
- [sv-cc] Meeting this Weds Charlie Dawson (Mon Oct 06 2008 - 12:04:30 PDT)
- [sv-cc] SV-CC Meeting agenda for 10/08/2008 Charlie Dawson (Tue Oct 07 2008 - 14:10:03 PDT)
- [sv-cc] SV-CC Meeting minutes from 10/08/2008 Charlie Dawson (Wed Oct 08 2008 - 11:12:44 PDT)
- [sv-cc] Need to vote on Item 2226 Charlie Dawson (Wed Oct 08 2008 - 11:23:59 PDT)
- [sv-cc] IEEE P1800 Draft 7a Available for Download Brophy, Dennis (Sat Oct 11 2008 - 12:20:32 PDT)
- [sv-cc] Please review all Draft 7a Items before our next meeting Charlie Dawson (Tue Oct 14 2008 - 13:49:32 PDT)
- [sv-cc] SV-CC Meeting agenda for 10/22/2008 Charlie Dawson (Tue Oct 21 2008 - 10:47:27 PDT)
- [sv-cc] SV-CC Meeting agenda for 10/22/2008 Charlie Dawson (Tue Oct 21 2008 - 14:32:56 PDT)
- [sv-cc] t_vpi_arrayvalue fix Mantis item logged Chuck Berking (Thu Oct 23 2008 - 09:30:13 PDT)
- [sv-cc] VPI interface of unsized literals Jason Campbell (Fri Oct 24 2008 - 08:15:37 PDT)
- [sv-cc] SV-CC Meeting minutes from 10/22/2008 Charlie Dawson (Mon Oct 27 2008 - 10:57:26 PDT)
- [sv-cc] Question regarding vpi_get_delays() Krzysztof Konopko (Thu Oct 30 2008 - 05:56:00 PDT)
- [sv-cc] Correction to clocking block diagram Jim Vellenga (Thu Oct 30 2008 - 06:14:58 PDT)
- [sv-cc] 2240 not a duplicate of 1946 Jim Vellenga (Thu Oct 30 2008 - 06:30:29 PDT)
- [sv-cc] RE: 2240 not a duplicate of 1946 Bresticker, Shalom (Thu Oct 30 2008 - 06:47:01 PDT)
- [sv-cc] Updated proposal for cbSizeChange Jim Vellenga (Thu Oct 30 2008 - 08:19:09 PDT)
- [sv-cc] Draft 8 is almost ready Neil Korpusik (Thu Dec 04 2008 - 09:31:47 PST)
- [sv-cc] IEEE P1800 Draft 8 Available for Download Brophy, Dennis (Thu Dec 04 2008 - 10:16:06 PST)
- [sv-cc] Mantis item 2504 closed in D8 Chuck Berking (Thu Dec 04 2008 - 10:56:31 PST)
- [sv-cc] RE: IEEE P1800 Draft 8 Available for Download Brophy, Dennis (Thu Dec 04 2008 - 11:46:39 PST)
- [sv-cc] Another Draft 8 typo Moorhouse, Abigail (Fri Dec 05 2008 - 14:05:12 PST)
- [sv-cc] Editor comments on reviewing draft8-preliminary Stuart Sutherland (Mon Dec 08 2008 - 09:47:08 PST)
- [sv-cc] RE: [sv-ac] Editor comments on reviewing draft8-preliminary Bresticker, Shalom (Tue Dec 09 2008 - 07:26:45 PST)
- RE: [sv-cc] Draft 8 sv_vpi_user.h issues Chuck Berking (Wed Jan 07 2009 - 14:47:16 PST)
- Re: [sv-cc] Draft 8 sv_vpi_user.h issues Charlie Dawson (Wed Jan 07 2009 - 14:54:35 PST)
- [sv-cc] Checker variable BNF issue Korchemny, Dmitry (Thu Jan 08 2009 - 07:21:10 PST)
- [sv-cc] Annex N (sv_vpi_user.h) correction summary Chuck Berking (Mon Jan 12 2009 - 12:45:11 PST)
- [sv-cc] SV-CC Meeting agenda for 01/14/2009 Charlie Dawson (Tue Jan 13 2009 - 08:44:48 PST)
- [sv-cc] Editing error in the Generates VPI diagram Chuck Berking (Wed Jan 14 2009 - 10:16:40 PST)
- [sv-cc] SV-CC Meeting minutes from Jan-14-2009 Charlie Dawson (Wed Jan 14 2009 - 10:40:10 PST)
- [sv-cc] RE: Editing error in the Generates VPI diagram Bresticker, Shalom (Wed Jan 14 2009 - 10:59:27 PST)
- [sv-cc] RE: Editing error in the Generates VPI diagram Stuart Sutherland (Wed Jan 14 2009 - 19:07:39 PST)
- [sv-cc] vpi_sim_control Bresticker, Shalom (Thu Jan 15 2009 - 03:31:59 PST)
- [sv-cc] vpiObj, vpiObjectVal Bresticker, Shalom (Thu Jan 15 2009 - 04:01:24 PST)
- [sv-cc] RE: Editing error in the Generates VPI diagram Chuck Berking (Thu Jan 15 2009 - 07:11:55 PST)
- [sv-cc] RE: vpiObj, vpiObjectVal Stuart Sutherland (Thu Jan 15 2009 - 10:31:04 PST)
- [sv-cc] Mantis 2572 Chuck Berking (Mon Jan 26 2009 - 14:47:42 PST)
- [sv-cc] review of 0226 implementation in draft8 Shields, John (Mon Jan 26 2009 - 23:22:19 PST)
- [sv-cc] SV-CC Meeting agenda for 01/28/2009 Charlie Dawson (Tue Jan 27 2009 - 08:49:59 PST)
- [sv-cc] Mantis 1775 fixes Chuck Berking (Tue Jan 27 2009 - 13:37:54 PST)
- [sv-cc] RE: Mantis 1775 fixes Chuck Berking (Wed Jan 28 2009 - 08:56:46 PST)
- [sv-cc] Mantis 1775 proposal replaced Chuck Berking (Wed Jan 28 2009 - 12:36:30 PST)
- [sv-cc] Mantis item + proposal for deprecating "Reader API" from sv_vpi_user.h Jim Vellenga (Wed Jan 28 2009 - 13:24:23 PST)
- [sv-cc] Mantis item + proposal for deprecating "Reader API" from sv_vpi_user.h Jim Vellenga (Wed Jan 28 2009 - 13:26:54 PST)
- [sv-cc] Another omission in Annex L ! Chuck Berking (Tue Feb 03 2009 - 07:54:20 PST)
- [sv-cc] Call for email vote on Mantis Item 1775 Charlie Dawson (Tue Feb 03 2009 - 08:01:28 PST)
- [sv-cc] vpiAccessType for tasks/functions Jason Campbell (Tue Feb 10 2009 - 08:02:27 PST)
- [sv-cc] 1775 Francoise Martinolle (Wed Feb 11 2009 - 09:00:03 PST)
- [sv-cc] IEEE P1800 Ballot Draft Version Read for Download Brophy, Dennis (Thu Feb 12 2009 - 10:30:41 PST)
- [sv-cc] DPI with ACC? Stuart Sutherland (Thu Feb 12 2009 - 13:52:07 PST)
- [sv-cc] UPDATED - IEEE P1800 Ballot Draft Version Ready for Download Brophy, Dennis (Thu Feb 12 2009 - 15:47:03 PST)
- [sv-cc] vpiArrayType Bresticker, Shalom (Thu Feb 19 2009 - 01:52:34 PST)
- [sv-cc] Minor erratum in I.9.2 Warmke, Doug (Wed Feb 25 2009 - 22:02:21 PST)
- [sv-cc] example code errors in I.12.9 ? Bresticker, Shalom (Sun Mar 01 2009 - 21:44:10 PST)
- [sv-cc] March 2009 P1800 Ballot Comments Karen Pieper (Fri Apr 03 2009 - 20:23:56 PDT)
- [sv-cc] RE: [P1800] March 2009 P1800 Ballot Comments Bresticker, Shalom (Sat Apr 04 2009 - 11:23:18 PDT)
- [sv-cc] SV-CC Meeting this Wednesday Charlie Dawson (Mon Apr 06 2009 - 11:05:28 PDT)
- [sv-cc] Mantis Items for Ballot comments Charlie Dawson (Mon Apr 06 2009 - 14:21:06 PDT)
- [sv-cc] FW: 1800-2008 Stuart Sutherland (Tue Apr 07 2009 - 08:38:45 PDT)
- [sv-cc] SV-CC Meeting agenda for Apr-08-2009 Charlie Dawson (Tue Apr 07 2009 - 10:47:23 PDT)
- [sv-cc] CC mantis items which need input from BC Francoise Martinolle (Wed Apr 08 2009 - 10:41:50 PDT)
- [sv-cc] Reference to misctf Jim Vellenga (Wed Apr 08 2009 - 11:48:28 PDT)
- [sv-cc] Approved SV-CC Meeting minutes for Jan-28-2009 Charlie Dawson (Wed Apr 08 2009 - 12:14:16 PDT)
- [sv-cc] Un-Approved SV-CC Meeting minutes for Apr-08-2009 Charlie Dawson (Wed Apr 08 2009 - 12:16:41 PDT)
- [sv-cc] Mantis item updates Chuck Berking (Wed Apr 08 2009 - 13:15:09 PDT)
- [sv-cc] Voting rights in the Technical Committees are being reset Neil Korpusik (Wed Apr 08 2009 - 18:54:59 PDT)
- [sv-cc] Revised Un-Approved SV-CC Meeting minutes for Apr-08-2009 Charlie Dawson (Thu Apr 09 2009 - 09:26:54 PDT)
- [sv-cc] Restarted voting rights Charlie Dawson (Thu Apr 09 2009 - 09:29:29 PDT)
- [sv-cc] Page numbers in the Mantis items Charlie Dawson (Fri Apr 10 2009 - 10:58:09 PDT)
- [Fwd: RE: [sv-cc] Un-Approved SV-CC Meeting minutes for Apr-08-2009] Charlie Dawson (Wed Apr 15 2009 - 08:48:07 PDT)
- [sv-cc] Proposal for 2621 -- vpiSize of function declarations Jim Vellenga (Fri Apr 17 2009 - 13:48:56 PDT)
- [sv-cc] P1800 Technical Committee - working direction Neil Korpusik (Mon Apr 20 2009 - 10:42:57 PDT)
- [sv-cc] Small addition to proposal for 2572 Chuck Berking (Mon Apr 20 2009 - 15:55:46 PDT)
- [sv-cc] Mantis Items with Proposals Charlie Dawson (Tue Apr 21 2009 - 08:46:51 PDT)
- [sv-cc] SV-CC Meeting agenda for Apr-22-2009 Charlie Dawson (Tue Apr 21 2009 - 14:19:35 PDT)
- [sv-cc] Request to review Mantis 2562 Korchemny, Dmitry (Mon Apr 27 2009 - 12:37:33 PDT)
- [sv-cc] All mantis items should show the Ballot ID Neil Korpusik (Mon Apr 27 2009 - 14:37:03 PDT)
- [sv-cc] SV-CC Meeting agenda for Apr-29-2009 Charlie Dawson (Tue Apr 28 2009 - 19:01:40 PDT)
- [Fwd: RE: [sv-cc] Un-Approved SV-CC Meeting minutes for Apr-08-2009] Charlie Dawson (Wed Apr 29 2009 - 09:10:09 PDT)
- [sv-cc] SV-CC Meeting agenda for May-6-2009 Charlie Dawson (Wed May 06 2009 - 05:41:22 PDT)
- [sv-cc] SV-CC Meeting agenda for May-13-2009 Charlie Dawson (Tue May 12 2009 - 20:01:15 PDT)
- [sv-cc] Please re-join call Charlie Dawson (Wed May 13 2009 - 09:58:29 PDT)
- [sv-cc] [Fwd: [SystemVerilog P1800 0002621]: Ballot comment #155 vpiSize should return an error when applied on a vpiFunction returning string] Jim Vellenga (Mon May 18 2009 - 09:45:58 PDT)
- [sv-cc] RE: [Fwd: [SystemVerilog P1800 0002621]: Ballot comment #155 vpiSize should return an error when applied on a vpiFunction returning string] Francoise Martinolle (Tue May 19 2009 - 07:55:14 PDT)
- [sv-cc] SV-CC Meeting agenda for May-20-2009 Charlie Dawson (Tue May 19 2009 - 11:37:54 PDT)
- [sv-cc] RE: [Fwd: [SystemVerilog P1800 0002621]: Ballot comment #155 vpiSize should return an error when applied on a vpiFunction returning string] Bresticker, Shalom (Wed May 20 2009 - 05:33:59 PDT)
- [sv-cc] Question about mantis 2636 Neil Korpusik (Tue May 26 2009 - 17:56:28 PDT)
- [sv-cc] Work is allowed to continue until June 15th Neil Korpusik (Thu May 28 2009 - 10:05:57 PDT)
- [sv-cc] SV-CC meeting Charlie Dawson (Wed Jun 10 2009 - 09:09:23 PDT)
- [sv-cc] RE: [SystemVerilog P1800 0002572]: sv_vpi_user.h (Annex N source) needs repairs Bresticker, Shalom (Fri Jun 12 2009 - 08:14:04 PDT)
- [sv-cc] RE: [SystemVerilog P1800 0002572]: sv_vpi_user.h (Annex N source) needs repairs Chuck Berking (Fri Jun 12 2009 - 08:11:02 PDT)
- [sv-cc] SV-CC: DPI Issues Amit Kohli (Mon Jun 15 2009 - 03:50:13 PDT)
- [sv-cc] Mantis 2468 values needed Stuart Sutherland (Wed Jun 24 2009 - 10:52:18 PDT)
- [sv-cc] IEEE Ballot Draft 9 Preliminary Available for Download Brophy, Dennis (Tue Jun 30 2009 - 09:51:20 PDT)
- [sv-cc] P1800/D9-preliminary Stuart Sutherland (Tue Jun 30 2009 - 10:13:00 PDT)
- [sv-cc] RE: [sv-ac] P1800/D9-preliminary Brad Pierce (Tue Jun 30 2009 - 14:46:20 PDT)
- [sv-cc] RE: [sv-ac] P1800/D9-preliminary Brad Pierce (Tue Jun 30 2009 - 14:44:52 PDT)
- [sv-cc] RE: [sv-ac] Draft P1800/D9-preliminary review Korchemny, Dmitry (Thu Jul 09 2009 - 08:02:00 PDT)
- [sv-cc] Ballot comment 171 Bresticker, Shalom (Fri Jul 10 2009 - 01:48:36 PDT)
- [sv-cc] IEEE Ballot Draft 9 Preliminary 2 Available for Download Brophy, Dennis (Fri Jul 10 2009 - 10:07:25 PDT)
- [sv-cc] SystemVerilog-2009 Update Presentations at DAC by Cliff & Stu Clifford E. Cummings (Tue Jul 14 2009 - 10:39:57 PDT)
- Ballot comment 159 (was: Re: [sv-cc] Ballot comment 171) Charlie Dawson (Thu Jul 16 2009 - 14:49:08 PDT)
- Re: [P1800] Ballot comment 159 (was: Re: [sv-cc] Ballot comment 171) Karen Pieper (Thu Jul 16 2009 - 18:02:08 PDT)
- RE: [P1800] Ballot comment 159 (was: Re: [sv-cc] Ballot comment 171) Bresticker, Shalom (Fri Jul 17 2009 - 08:28:54 PDT)
- [sv-cc] Emergency SV-CC meeting to address Mantis Item 2582 Charlie Dawson (Fri Jul 17 2009 - 08:56:05 PDT)
- [sv-cc] Do mantis items exist for ballot comments #144, #165, #166? Neil Korpusik (Sat Jul 18 2009 - 14:45:29 PDT)
- [sv-cc] SV-CC Meeting agenda for Jul-20-2009 Charlie Dawson (Mon Jul 20 2009 - 06:54:03 PDT)
- [sv-cc] Closing ballot Mantis items Chuck Berking (Mon Jul 20 2009 - 07:54:35 PDT)
- [sv-cc] Re: [P1800] Ballot comment 159 Charlie Dawson (Mon Jul 20 2009 - 09:39:52 PDT)
- [sv-cc] Closing out Mantis Items Charlie Dawson (Thu Jul 23 2009 - 08:26:44 PDT)
- [sv-cc] IEEE Ballot Draft 9 FINAL Available for Download Brophy, Dennis (Wed Jul 29 2009 - 08:18:26 PDT)
- [sv-cc] Re: SystemVerilog-2009 Update Presentations at DAC by Cliff & Stu Brad Pierce (Wed Jul 29 2009 - 18:01:33 PDT)
- [sv-cc] Can let declarations use the untyped keyword Stuart Sutherland (Sat Aug 01 2009 - 23:38:44 PDT)
- [sv-cc] IEEE Ballot Draft 9 UPDATED (V2) FINAL Clean Version Available for Download Brophy, Dennis (Thu Aug 06 2009 - 12:06:09 PDT)
- [sv-cc] String format Jason Campbell (Wed Sep 23 2009 - 13:21:27 PDT)
- [sv-cc] Fw: 1800-2009 Approval Notification Karen Pieper (Wed Nov 11 2009 - 11:00:16 PST)
- [sv-cc] 10 December 2009 UNAPPROVED Working Group Meeting Minutes Brophy, Dennis (Thu Dec 10 2009 - 12:08:41 PST)
- [sv-cc] IEEE Std 1800-2009 Is Published - Available for Purchase & Download Brophy, Dennis (Fri Dec 18 2009 - 04:30:22 PST)
- [sv-cc] RE: [sv-ac] IEEE Std 1800-2009 Is Published - Available for Purchase & Download Rich, Dave (Wed Dec 23 2009 - 19:52:20 PST)
- [sv-cc] RE: [sv-ac] IEEE Std 1800-2009 Is Published - Available for Purchase & Download Bresticker, Shalom (Wed Dec 23 2009 - 21:24:11 PST)
- [sv-cc] RE: [sv-ac] IEEE Std 1800-2009 Is Published - Available for Purchase & Download Bresticker, Shalom (Thu Dec 24 2009 - 03:37:13 PST)
- [sv-cc] Input for the next PAR Charlie Dawson (Mon Jan 11 2010 - 18:20:19 PST)
- [sv-cc] IEEE 1800-2009 Release Celebration Dinner Karen Pieper (Thu Jan 14 2010 - 09:44:21 PST)
- [sv-cc] RE: [sv-ac] IEEE Std 1800-2009 Is Published - Available for Purchase & Download Bresticker, Shalom (Sat Jan 16 2010 - 08:56:15 PST)
- [sv-cc] SV-CC Meeting agenda for Jan-20-2010 Charlie Dawson (Tue Jan 19 2010 - 14:52:26 PST)
- [sv-cc] SystemVerilog Requirements Gathering Meeting Brophy, Dennis (Tue Jan 19 2010 - 20:53:23 PST)
- [sv-cc] SystemVerilog Requirements Gathering Meeting Brophy, Dennis (Tue Jan 19 2010 - 20:53:35 PST)
- [sv-cc] Fw: SystemVerilog Requirements Gathering Meeting Karen Pieper (Fri Jan 22 2010 - 14:59:06 PST)
- [sv-cc] Re: [sv-bc] 1800-2009 H.10.1.3 svDpiVersion on 2009 simulators (SV) Neil Korpusik (Wed Jan 27 2010 - 17:14:20 PST)
- [sv-cc] Re: [sv-bc] 1800-2009 I.3 DPI Source comments flipped (SV) Neil Korpusik (Wed Jan 27 2010 - 17:14:50 PST)
- [sv-cc] SV-CC Meeting agenda for Feb-03-2010 Charlie Dawson (Tue Feb 02 2010 - 13:36:55 PST)
- [sv-cc] SV-CC Meeting agenda for Feb-17-2010 Charlie Dawson (Tue Feb 16 2010 - 16:19:16 PST)
- [sv-cc] Slides for SV-CC presentation at DVCon Meeting, Draft 1 Charlie Dawson (Tue Feb 16 2010 - 16:20:18 PST)
- [sv-cc] Slides for SV-CC presentation at DVCon Meeting, Draft 2 Charlie Dawson (Wed Feb 17 2010 - 11:23:31 PST)
- [sv-cc] FW: [sv-ec] features for next PAR Bresticker, Shalom (Thu Feb 18 2010 - 02:01:58 PST)
- [sv-cc] Agenda for the SystemVerilog Requirements Gathering Meeting Karen Pieper (Thu Feb 18 2010 - 20:47:11 PST)
- [sv-cc] FW: SystemVerilog Requirements Gathering Meeting Brad Pierce (Mon Feb 22 2010 - 12:03:05 PST)
- [sv-cc] RE: SystemVerilog Requirements Gathering Meeting Brophy, Dennis (Mon Feb 22 2010 - 17:39:12 PST)
- [sv-cc] 26 February 2010 Face-to-Face Meeting Attendance Status Brophy, Dennis (Wed Feb 24 2010 - 12:15:09 PST)
- [sv-cc] Notes from 26 February 2010 SystemVerilog Requirements Gathering Meeting Brophy, Dennis (Mon Mar 01 2010 - 18:19:36 PST)
- [sv-cc] RE: [P1800] Notes from 26 February 2010 SystemVerilog Requirements Gathering Meeting Brophy, Dennis (Mon Mar 01 2010 - 21:41:20 PST)
- [sv-cc] Fw: Clarification of Entity-based participating/voting rules. Karen Pieper (Tue Mar 02 2010 - 14:19:19 PST)
- [sv-cc] Updated 26 February 2010 SystemVerilog Requirements Gathering Meeting Minutes Brophy, Dennis (Wed Mar 10 2010 - 08:50:36 PST)
- [sv-cc] RE: [sv-bc] RE: [sv-ec] Fw: Clarification of Entity-based participating/voting rules. Steven Sharp (Wed Apr 07 2010 - 17:37:19 PDT)
- [sv-cc] "editorial" errors Bresticker, Shalom (Mon Apr 12 2010 - 05:09:44 PDT)
- [sv-cc] Technical Committees Operating Guidelines - as a Study Group Neil Korpusik (Mon Apr 12 2010 - 10:18:55 PDT)
- [sv-cc] Freescale SV-CC enhancement request John Havlicek (Tue Apr 13 2010 - 12:19:47 PDT)
- [sv-cc] Starting SV-CC Meetings again Charlie Dawson (Tue Apr 13 2010 - 12:29:15 PDT)
- [sv-cc] SV-CC Meeting agenda for Apr-27-2010 Charlie Dawson (Tue Apr 27 2010 - 14:09:57 PDT)
- [sv-cc] Mantis item 1653 Jim Vellenga (Wed Apr 28 2010 - 10:32:16 PDT)
- [sv-cc] SV-CC Meeting agenda for May-12-2010 Charlie Dawson (Tue May 11 2010 - 19:09:32 PDT)
- [sv-cc] List of SV-CC Mantis Items still open Charlie Dawson (Tue May 11 2010 - 19:11:37 PDT)
- [sv-cc] Updated spreadsheet Jim Vellenga (Wed May 12 2010 - 09:33:32 PDT)
- [sv-cc] Mantis item 1581 already done Jim Vellenga (Wed May 12 2010 - 09:59:14 PDT)
- [sv-cc] Clarification from the P1800 committee on the Scope document Charlie Dawson (Thu May 13 2010 - 14:15:24 PDT)
- [sv-cc] call for participation in SV-DC Havlicek John-R8AAAU (Thu May 13 2010 - 15:44:22 PDT)
- [sv-cc] Mantis Items 2010-05-17 Charlie Dawson (Mon May 17 2010 - 11:49:12 PDT)
- [sv-cc] FW: sv-dc maillist Havlicek John-R8AAAU (Thu May 20 2010 - 09:29:04 PDT)
- [sv-cc] Mantis item 744 Jim Vellenga (Mon May 24 2010 - 08:53:03 PDT)
- [sv-cc] Mantis item 1652 Jim Vellenga (Mon May 24 2010 - 09:00:46 PDT)
- [sv-cc] Mantis items 305 and 306 Jim Vellenga (Mon May 24 2010 - 08:54:46 PDT)
- [sv-cc] Annotated spreadsheet Jim Vellenga (Mon May 24 2010 - 10:20:27 PDT)
- [sv-cc] SV-CC Meeting agenda for May-26-2010 Charlie Dawson (Tue May 25 2010 - 18:26:18 PDT)
- [sv-cc] please send me the annotated spreadsheet Charlie Dawson (Tue May 25 2010 - 19:28:52 PDT)
- [sv-cc] Master list of SV-CC Mantis Items Charlie Dawson (Wed May 26 2010 - 08:12:26 PDT)
- [sv-cc] RE: Explaining rational on 3090 Bassam Tabbara (Wed May 26 2010 - 11:43:54 PDT)
- [sv-cc] Mantis item 1477 Chuck Berking (Wed May 26 2010 - 13:09:42 PDT)
- [sv-cc] My completed Mantis item review Chuck Berking (Wed May 26 2010 - 14:56:33 PDT)
- [sv-cc] DPI class/object reference passing feature Alsop, Thomas R (Thu May 27 2010 - 13:08:23 PDT)
- [sv-cc] SV-CC Meeting agenda for Jun-09-2010 Charlie Dawson (Wed Jun 09 2010 - 06:30:10 PDT)
- [sv-cc] FW: Master list of SV-CC Mantis Items Ghassan Khoory (Wed Jun 09 2010 - 09:44:28 PDT)
- [sv-cc] New Mantis #3115 added Warmke, Doug (Fri Jun 11 2010 - 00:31:10 PDT)
- [sv-cc] SV-CC Meeting agenda for Jun-23-2010 Charlie Dawson (Tue Jun 22 2010 - 18:25:16 PDT)
- [sv-cc] Mantis 3116 Chuck Berking (Wed Jun 23 2010 - 10:11:37 PDT)
- [sv-cc] Technical Committees Operating Guidelines - as a Working Group Neil Korpusik (Wed Jun 30 2010 - 18:17:20 PDT)
- [sv-cc] SV-CC Meeting agenda for Jul-21-2010 Charlie Dawson (Tue Jul 20 2010 - 13:56:50 PDT)
- [sv-cc] Mantis item #1477 proposal updated Chuck Berking (Tue Aug 03 2010 - 14:58:07 PDT)
- [sv-cc] SV-CC Meeting agenda for Aug-04-2010 Charlie Dawson (Wed Aug 04 2010 - 06:37:52 PDT)
- [sv-cc] SV-CC Meeting agenda for Aug-18-2010 Charlie Dawson (Tue Aug 17 2010 - 14:37:29 PDT)
- [sv-cc] Idea to allow $system calls via the DPI Wilson Snyder (Wed Aug 18 2010 - 07:56:07 PDT)
- [sv-cc] Request to review 2494 Korchemny, Dmitry (Tue Aug 24 2010 - 11:48:54 PDT)
- Re: [sv-bc] Re: [sv-cc] Idea to allow $system calls via the DPI Steven Sharp (Wed Aug 25 2010 - 17:43:08 PDT)
- [sv-cc] New proposal for 3116 and minor change to 1477 Chuck Berking (Mon Aug 30 2010 - 15:06:56 PDT)
- [sv-cc] SV-CC Meeting agenda for Sep-01-2010 Charlie Dawson (Tue Aug 31 2010 - 19:29:55 PDT)
- [sv-cc] 3 minor Mantis items with proposals ready for review Chuck Berking (Wed Sep 01 2010 - 07:10:48 PDT)
- [sv-cc] Charlie Dawson (Wed Sep 01 2010 - 09:28:08 PDT)
- [sv-cc] SV-CC Meeting agenda for Sep-15-2010 Charlie Dawson (Tue Sep 14 2010 - 20:21:04 PDT)
- [sv-cc] SV-CC Meeting agenda for Sep-29-2010 Charlie Dawson (Tue Sep 28 2010 - 19:04:33 PDT)
- [sv-cc] List of Mantis Items for tomorrow's discussion Charlie Dawson (Tue Sep 28 2010 - 20:15:41 PDT)
- [sv-cc] Results of Champions email vote which closed on Sept 29th Neil Korpusik (Tue Oct 12 2010 - 16:40:38 PDT)
- [sv-cc] SV-CC Meeting agenda for Oct-13-2010 Charlie Dawson (Tue Oct 12 2010 - 22:24:06 PDT)
- [sv-cc] Mantis 3232 for simple editorial issues Bresticker, Shalom (Wed Oct 13 2010 - 00:58:50 PDT)
- [sv-cc] New guidelines for P1800 mantis proposals Neil Korpusik (Fri Oct 22 2010 - 14:07:12 PDT)
- [sv-cc] SV-CC Meeting agenda for Oct-27-2010 Charlie Dawson (Tue Oct 26 2010 - 15:41:59 PDT)
- [sv-cc] list of items/owners Ghassan Khoory (Wed Oct 27 2010 - 09:22:08 PDT)
- [sv-cc] Unapproved minutes 27 Oct 2010 Jim Vellenga (Wed Oct 27 2010 - 09:55:11 PDT)
- [sv-cc] Mantis 2359 Bresticker, Shalom (Mon Nov 01 2010 - 01:44:54 PDT)
- [sv-cc] Proposal for 3215 Jim Vellenga (Tue Nov 02 2010 - 06:53:18 PDT)
- [sv-cc] Duplicate Mantis items? Jim Vellenga (Wed Nov 03 2010 - 05:51:13 PDT)
- [sv-cc] Can Mantis 3123 be closed as 'not a mistake'? Bresticker, Shalom (Sun Nov 07 2010 - 21:27:50 PST)
- [sv-cc] SV-CC Meeting agenda for Nov-10-2010 Charlie Dawson (Tue Nov 09 2010 - 19:26:47 PST)
- [sv-cc] Revised proposal for item 753 Jim Vellenga (Wed Nov 10 2010 - 11:25:43 PST)
- [sv-cc] Agenda items Jim Vellenga (Tue Nov 16 2010 - 10:23:18 PST)
- [sv-cc] DPI import function properties - pure, context, none Daniel Mlynek (Thu Nov 25 2010 - 22:20:32 PST)
- [sv-cc] SV-CC Meeting agenda for Dec-08-2010 Charlie Dawson (Tue Dec 07 2010 - 15:57:36 PST)
- [sv-cc] Test for Jay Lawrence on sv-cc Jay Lawrence (Wed Dec 08 2010 - 07:34:10 PST)
- [sv-cc] Sorry, Link to agenda was wrong. Here is a new link. Charlie Dawson (Wed Dec 08 2010 - 08:26:17 PST)
- [sv-cc] FW: [sv-champions] Email vote - Ending December 13th Francoise Martinolle (Thu Dec 16 2010 - 19:20:44 PST)
- [sv-cc] Chair Election procedure and Call for Nominations Steven J. Dovich (Tue Jan 04 2011 - 13:04:02 PST)
- [sv-cc] SV-CC Meeting agenda for Jan-05-2011 Charlie Dawson (Tue Jan 04 2011 - 14:13:07 PST)
- [sv-cc] FW: [sv-champions] Email vote - Ending December 13th Chuck Berking (Wed Jan 05 2011 - 15:23:31 PST)
- [sv-cc] P1800 Meeting with Jeita Karen Pieper (Thu Jan 06 2011 - 17:27:01 PST)
- [sv-cc] Results of the Champions email vote ending on December 13th, 2010 Neil Korpusik (Wed Jan 12 2011 - 18:03:29 PST)
- [sv-cc] IEEE participation rules and TC cutoff date Neil Korpusik (Thu Jan 13 2011 - 10:47:42 PST)
- [sv-cc] SV-CC Meeting agenda for Jan-19-2011 Charlie Dawson (Tue Jan 18 2011 - 16:23:59 PST)
- [sv-cc] FW: [sv-champions] Email vote - Ending December 13th Chuck Berking (Wed Jan 19 2011 - 08:12:54 PST)
- [sv-cc] FW: [sv-champions] Email vote - Ending December 13th Chuck Berking (Wed Jan 19 2011 - 08:48:12 PST)
- [sv-cc] Chair election - Call for votes Steven J. Dovich (Wed Jan 19 2011 - 09:11:43 PST)
- [sv-cc] Mantis item 1649 is no longer an issue Jim Vellenga (Thu Jan 20 2011 - 06:33:02 PST)
- [sv-cc] New Mantis item and proposal Jim Vellenga (Thu Jan 20 2011 - 07:48:57 PST)
- [sv-cc] Mantis proposal update for #1477 Chuck Berking (Thu Jan 20 2011 - 09:12:21 PST)
- [sv-cc] FW: Mantis proposal update for #1477 Chuck Berking (Thu Jan 20 2011 - 09:25:06 PST)
- [sv-cc] SV-CC Leadership election results Steven J. Dovich (Wed Jan 26 2011 - 13:31:37 PST)
- [sv-cc] Fw: [P1800] P1800-UVM - JEITA SV WG meeting Karen Pieper (Thu Jan 27 2011 - 10:02:12 PST)
- [sv-cc] Corrected file uploaded for Mantis 753 Jim Vellenga (Mon Jan 31 2011 - 05:50:17 PST)
- [sv-cc] SV-CC Meeting agenda for Feb-02-2011 Charlie Dawson (Wed Feb 02 2011 - 06:25:51 PST)
- [sv-cc] Replaced Mantis #1477 proposal Chuck Berking (Wed Feb 02 2011 - 12:36:26 PST)
- [sv-cc] New Operating Procedures Karen Pieper (Mon Feb 14 2011 - 10:15:22 PST)
- [sv-cc] Re: [P1800] Re: [sv-ec] New Operating Procedures Karen Pieper (Mon Feb 14 2011 - 11:25:32 PST)
- [sv-cc] SV-CC Meeting agenda for Feb-16-2011 Charlie Dawson (Tue Feb 15 2011 - 12:32:53 PST)
- [sv-cc] New rules for SystemVerilog Technical Committees Neil Korpusik (Sat Mar 12 2011 - 20:41:10 PST)
- [sv-cc] SV-CC Meeting agenda for Mar-16-2011 Charlie Dawson (Tue Mar 15 2011 - 16:32:11 PDT)
- [sv-cc] New Mantis item w. proposal added Chuck Berking (Wed Mar 16 2011 - 08:52:59 PDT)
- [sv-cc] Minor corrections to 3423 prop Chuck Berking (Wed Mar 16 2011 - 11:14:59 PDT)
- [sv-cc] Responses to the questions we had at the SV-CC meeting yesterday. Charlie Dawson (Fri Mar 18 2011 - 09:20:53 PDT)
- [sv-cc] New Mantis item with proposal Jim Vellenga (Thu Mar 24 2011 - 10:35:54 PDT)
- [sv-cc] Probably absent Wednesday Jim Vellenga (Mon Mar 28 2011 - 08:14:40 PDT)
- [sv-cc] SV-CC Meeting agenda for Mar-30-2011 Charlie Dawson (Tue Mar 29 2011 - 17:51:00 PDT)
- [sv-cc] 3423 Francoise Martinolle (Wed Mar 30 2011 - 09:57:55 PDT)
- [sv-cc] Please vote on Mantis 3118 Bresticker, Shalom (Thu Mar 31 2011 - 01:28:52 PDT)
- [sv-cc] Mantis 3022 Bresticker, Shalom (Tue Apr 05 2011 - 00:31:43 PDT)
- [sv-cc] SV-CC Meeting agenda for Apr-13-2011 Charlie Dawson (Tue Apr 12 2011 - 14:27:22 PDT)
- [sv-cc] uploaded proposal for 3118 Francoise Martinolle (Wed Apr 13 2011 - 09:45:47 PDT)
- [sv-cc] uploaded proposal to Mantis 3272 Bresticker, Shalom (Fri Apr 22 2011 - 01:20:49 PDT)
- [sv-cc] SV-CC Meeting agenda for Apr-27-2011 Charlie Dawson (Tue Apr 26 2011 - 22:38:53 PDT)
- [sv-cc] uploaded proposal to Mantis 3522 Bresticker, Shalom (Wed Apr 27 2011 - 02:21:12 PDT)
- [sv-cc] Corrected proposal 3459 Jim Vellenga (Wed Apr 27 2011 - 09:44:11 PDT)
- [sv-cc] Updates to the LRM need to be reviewed for accuracy Neil Korpusik (Thu Apr 28 2011 - 10:48:06 PDT)
- [sv-cc] Uploaded proposal for 3087 Charlie Dawson (Tue May 10 2011 - 11:00:42 PDT)
- [sv-cc] SV-CC Meeting agenda for May-11-2011 Charlie Dawson (Tue May 10 2011 - 22:24:23 PDT)
- [sv-cc] Overview for Mantis item 3087 Jim Vellenga (Tue May 24 2011 - 13:26:26 PDT)
- [sv-cc] SV-CC Meeting agenda for May-25-2011 Charlie Dawson (Tue May 24 2011 - 22:49:11 PDT)
- [sv-cc] Multivendor compatibility -- standard vs. example? Jim Vellenga (Thu May 26 2011 - 07:01:26 PDT)
- [sv-cc] SV-CC meeting agenda for Jun-08-2011 Charlie Dawson (Tue Jun 07 2011 - 21:33:38 PDT)
- [sv-cc] Resolution of Mantis 1646 Korchemny, Dmitry (Wed Jun 15 2011 - 01:06:56 PDT)
- [sv-cc] SV-CC meeting agenda for Jun-22-2011 Charlie Dawson (Tue Jun 21 2011 - 17:13:27 PDT)
- [sv-cc] FW: Uploaded new revision of 3087 proposal (DPI-OO) Vitaly Yankelevich (Wed Jun 22 2011 - 08:07:32 PDT)
- [sv-cc] Mantis item 3599 Jim Vellenga (Wed Jun 22 2011 - 09:35:40 PDT)
- [sv-cc] FW: SV-CC Meeting agenda for May-11-2011 Bresticker, Shalom (Sat Jul 02 2011 - 23:28:55 PDT)
- [sv-cc] FW: SV-CC meeting agenda for Jun-08-2011 Bresticker, Shalom (Sat Jul 02 2011 - 23:30:16 PDT)
- [sv-cc] No call today ? Michael Rohleder (Wed Jul 06 2011 - 09:27:39 PDT)
- [sv-cc] Mantis 3087: DPI-OO parameterized class with a copy class parameter Vitaly Yankelevich (Tue Jul 12 2011 - 05:09:14 PDT)
- [sv-cc] DPI-OO proposal review Vitaly Yankelevich (Mon Jul 18 2011 - 14:28:16 PDT)
- [sv-cc] SV-CC meeting agenda for Jul-20-2011 Charlie Dawson (Tue Jul 19 2011 - 14:49:27 PDT)
- [sv-cc] WIN32 and _WIN32 define Michael Rohleder (Wed Jul 20 2011 - 09:25:01 PDT)
- [sv-cc] FW: DPI-OO proposal review Vitaly Yankelevich (Wed Jul 20 2011 - 09:34:41 PDT)
- [sv-cc] Mantis #3087: new revision (1.0.2) uploaded Vitaly Yankelevich (Tue Aug 02 2011 - 07:44:56 PDT)
- [sv-cc] Mantis 3087 Uses of comment pragmas instead of attributes Rich, Dave (Tue Aug 02 2011 - 08:02:25 PDT)
- [sv-cc] Mantis 3087, revision 1.0.2 Ghassan Khoory (Wed Aug 03 2011 - 07:20:28 PDT)
- [sv-cc] Consistency concerns from yesterday's meeting Jim Vellenga (Thu Aug 04 2011 - 05:45:38 PDT)
- [sv-cc] SV-CC meeting minutes - Aug-3-2011 Ghassan Khoory (Thu Aug 04 2011 - 08:07:32 PDT)
- [sv-cc] Clarifications Jim Vellenga (Mon Aug 08 2011 - 08:53:32 PDT)
- [sv-cc] Champion's email vote results Neil Korpusik (Mon Aug 08 2011 - 18:33:58 PDT)
- [sv-cc] What DPI-OO is not Jim Vellenga (Fri Aug 12 2011 - 06:11:24 PDT)
- [sv-cc] FW: Draft2 review assignments Bresticker, Shalom (Mon Aug 15 2011 - 01:19:26 PDT)
- [sv-cc] RE: Draft2 review assignments. Mantis 1477 Korchemny, Dmitry (Tue Aug 16 2011 - 01:52:02 PDT)
- [sv-cc] Marked-up comments to #3087 Vitaly Yankelevich (Tue Aug 16 2011 - 11:26:35 PDT)
- [sv-cc] SV-CC meeting agenda for Aug-17-2011 Charlie Dawson (Tue Aug 16 2011 - 15:47:46 PDT)
- [sv-cc] New Mantis items w. proposals filed Chuck Berking (Wed Aug 17 2011 - 07:32:52 PDT)
- [sv-cc] DPI_OO: Summary of issues Saha, Arnab (Wed Aug 17 2011 - 17:11:38 PDT)
- [sv-cc] Mantis item #3737 re. ranges of AAs Chuck Berking (Fri Aug 19 2011 - 10:13:01 PDT)
- [sv-cc] DPI-OO: Should copy classes be represented as structs or classes in the intermediate layer ? Vitaly Yankelevich (Fri Aug 19 2011 - 13:04:21 PDT)
- [sv-cc] DPI-OO: Open arrays as handles or STL vectors ? Vitaly Yankelevich (Fri Aug 19 2011 - 13:12:20 PDT)
- [sv-cc] Mantis 3069: Relax rules for $global_clock resolution Korchemny, Dmitry (Tue Aug 23 2011 - 11:30:59 PDT)
- [sv-cc] DPI-OO: enums Vitaly Yankelevich (Wed Aug 24 2011 - 09:38:47 PDT)
- [sv-cc] DPI-OO: unit32_t and DPI_OO::LogicVecValT Vitaly Yankelevich (Wed Aug 24 2011 - 09:55:25 PDT)
- [sv-cc] SV-CC Agenda for Aug-31-2011 Charlie Dawson (Tue Aug 30 2011 - 15:07:11 PDT)
- [sv-cc] Minor Mantis 3737 proposal update Chuck Berking (Wed Aug 31 2011 - 12:56:45 PDT)
- [sv-cc] Idea about getting the DPI-OO import info directly from the header files Jim Vellenga (Thu Sep 01 2011 - 07:03:47 PDT)
- [sv-cc] Using DPI-C accessor functions in DPI-OO Jim Vellenga (Thu Sep 01 2011 - 07:23:41 PDT)
- [sv-cc] Mantis 3069: Relax rules for $global_clock resolution (reminder) Korchemny, Dmitry (Tue Sep 06 2011 - 03:46:44 PDT)
- [sv-cc] SV-CC Agenda for Sep-14-2011 Charlie Dawson (Tue Sep 13 2011 - 19:52:08 PDT)
- [sv-cc] Mantis #3192 Chuck Berking (Wed Sep 14 2011 - 08:24:47 PDT)
- [sv-cc] Mantis #3737 and #3192 updates completed Chuck Berking (Wed Sep 14 2011 - 12:30:38 PDT)
- [sv-cc] DPI-OO: proposal to remove section "36. DPI-OO pragma directives" Vitaly Yankelevich (Thu Sep 15 2011 - 11:11:45 PDT)
- [sv-cc] Configuration compiler directive for DPI-OO open array arguments Vitaly Yankelevich (Sun Sep 18 2011 - 06:49:51 PDT)
- [sv-cc] Mantis item and proposal -- simple editorial Jim Vellenga (Tue Sep 20 2011 - 05:18:38 PDT)
- [sv-cc] Reminder: Please review DPI-OO proposals Charlie Dawson (Wed Sep 21 2011 - 08:44:22 PDT)
- [sv-cc] Container class for open arrays Saha, Arnab (Thu Sep 22 2011 - 16:22:49 PDT)
- [sv-cc] Uploaded DPI-OO proposal 1.0.3 with review comments Saha, Arnab (Sat Sep 24 2011 - 18:41:31 PDT)
- [sv-cc] Completed issues Bresticker, Shalom (Sun Sep 25 2011 - 06:30:45 PDT)
- [sv-cc] Uploaded DPI-OO proposal 1.0.4 Vitaly Yankelevich (Mon Sep 26 2011 - 13:41:59 PDT)
- {Disarmed} RE: {Disarmed} RE: {Disarmed} RE: [sv-cc] Uploaded DPI-OO proposal 1.0.4 Saha, Arnab (Tue Sep 27 2011 - 10:14:40 PDT)
- [sv-cc] The most recent champions email vote results Neil Korpusik (Tue Sep 27 2011 - 16:22:01 PDT)
- [sv-cc] SV-CC Agenda for Sep-28-2011 Charlie Dawson (Tue Sep 27 2011 - 21:10:03 PDT)
- [sv-cc] Moribund Mantis items Jim Vellenga (Wed Sep 28 2011 - 08:39:52 PDT)
- [sv-cc] Mantis 3737 (AA ranges) proposal updated Chuck Berking (Thu Sep 29 2011 - 12:22:13 PDT)
- [sv-cc] FW: Mantis 3737 (AA ranges) proposal updated Chuck Berking (Fri Sep 30 2011 - 10:19:40 PDT)
- [sv-cc] DPI-OO comments Francoise Martinolle (Mon Oct 03 2011 - 05:56:13 PDT)
- [sv-cc] vpiHandle on DPI method port proposal Radoslaw Nawrot (Tue Oct 04 2011 - 08:24:19 PDT)
- [sv-cc] FW: Arturo's comments -- esp. 35.5 Jim Vellenga (Wed Oct 05 2011 - 07:04:21 PDT)
- [sv-cc] Interpretation problem with vpiClasObj Radoslaw Nawrot (Mon Oct 10 2011 - 07:23:20 PDT)
- [sv-cc] DPI-OO reference "counts" Jim Vellenga (Tue Oct 11 2011 - 06:13:47 PDT)
- [sv-cc] So-called "asymmetry" Jim Vellenga (Tue Oct 11 2011 - 06:34:23 PDT)
- [sv-cc] SV-CC Agenda for Oct-12-2011 Charlie Dawson (Tue Oct 11 2011 - 12:46:08 PDT)
- [sv-cc] some responses to some comments Francoise Martinolle (Wed Oct 12 2011 - 08:47:52 PDT)
- [sv-cc] More comments Francoise Martinolle (Wed Oct 12 2011 - 09:02:33 PDT)
- [sv-cc] VPI for soft constraints -- proposals Jim Vellenga (Fri Oct 21 2011 - 11:20:47 PDT)
- [sv-cc] Mantis 3192 and 757 Bresticker, Shalom (Sun Oct 23 2011 - 09:43:05 PDT)
- [sv-cc] SV-CC Agenda for Oct-26-2011 Charlie Dawson (Tue Oct 25 2011 - 18:47:28 PDT)
- [sv-cc] using _MSC_VER in svdpi.h Daniel Mlynek (Wed Oct 26 2011 - 01:04:58 PDT)
- [sv-cc] MSDN info on _MSC_VER vs. _WIN32 Michael Rohleder (Wed Oct 26 2011 - 09:19:24 PDT)
- [sv-cc] Replaced proposals for Mantis item 3884 Jim Vellenga (Wed Oct 26 2011 - 12:53:34 PDT)
- Fwd: FW: [sv-cc] using _MSC_VER in svdpi.h Daniel Mlynek (Wed Oct 26 2011 - 22:05:23 PDT)
- [sv-cc] Technical Committee schedule update Neil Korpusik (Tue Nov 01 2011 - 15:49:40 PDT)
- [sv-cc] FW: SV-CC Meeting agenda for May-11-2011 Bresticker, Shalom (Sun Nov 06 2011 - 01:46:01 PST)
- [sv-cc] SV-CC Agenda for Nov-09-2011 Charlie Dawson (Tue Nov 08 2011 - 19:48:49 PST)
- [sv-cc] Proposal for 757 to address 3192 issue Chuck Berking (Wed Nov 09 2011 - 08:01:40 PST)
- [sv-cc] Mantis 757 proposal updated Chuck Berking (Wed Nov 09 2011 - 13:48:25 PST)
- [sv-cc] vpiUnit Radoslaw Nawrot (Tue Nov 15 2011 - 05:10:50 PST)
- [sv-cc] Updates to the LRM need to be reviewed for accuracy Neil Korpusik (Tue Nov 15 2011 - 18:06:51 PST)
- [sv-cc] Mantis 3022 Scott, George (Wed Nov 16 2011 - 08:12:06 PST)
- [sv-cc] Champions results from Nov 17 conference call Neil Korpusik (Thu Nov 17 2011 - 09:23:24 PST)
- [sv-cc] feedback for mantis item 3423 Francoise Martinolle (Mon Nov 21 2011 - 10:31:51 PST)
- [sv-cc] Some email is bouncing Neil Korpusik (Thu Dec 15 2011 - 16:34:59 PST)
- [sv-cc] IEEE P1800 SystemVerilog Draft 4 Available Brophy, Dennis (Mon Dec 19 2011 - 23:58:26 PST)
- [sv-cc] Old-style function prototypes in svdpi.h Bresticker, Shalom (Sun Jan 29 2012 - 19:54:43 PST)
- [sv-cc] [sv-ac] 16.14.8 Nonvacuous evaluations q), editing change, delete the superfluous "that" ben cohen (Sat Feb 04 2012 - 21:42:27 PST)
- [sv-cc] IEEE P1800-2012 SystemVerilog LRM Draft 5 (Ballot Version) Uploaded to mentor.ieee.org Brophy, Dennis (Thu Feb 16 2012 - 14:54:56 PST)
- [sv-cc] Multidimensional dynamic array in DPI-C Radoslaw Nawrot (Wed Apr 04 2012 - 23:30:53 PDT)
- {Spam?} [sv-cc] P1800-2012 Ballot Resolution Committee Meeting May 21st Rich, Dave (Fri May 11 2012 - 01:02:05 PDT)
- [sv-cc] SV-CC Agenda for May-16-2012 Charlie Dawson (Fri May 11 2012 - 14:15:46 PDT)
- [sv-cc] New proposal for 3127 Jim Vellenga (Fri May 18 2012 - 07:00:44 PDT)
- [sv-cc] DAC SystemVerilog-2012 Birds of a Feather Stuart Sutherland (Tue May 29 2012 - 11:00:49 PDT)
- [sv-cc] No meeting today... direction going forward Charlie Dawson (Wed May 30 2012 - 08:42:28 PDT)
- [sv-cc] Meeting Invitation: P1800-2012 Ballot Resolution Dave Rich (Thu Jun 07 2012 - 12:03:47 PDT)
- [sv-cc] Meeting Update: P1800-2012 Ballot Resolution Dave Rich (Thu Jun 07 2012 - 13:19:13 PDT)
- [sv-cc] SV-CC Agenda for Jun-13-2012 Charlie Dawson (Tue Jun 12 2012 - 21:28:09 PDT)
- [sv-cc] Modified proposal for 3127 Jim Vellenga (Fri Jun 15 2012 - 07:00:02 PDT)
- [sv-cc] Agenda for Next SV-CC Call - June 27, 2012 Ghassan Khoory (Tue Jun 26 2012 - 14:49:00 PDT)
- [sv-cc] svdpi.h(.new) file for testing Jim Vellenga (Wed Jun 27 2012 - 07:01:47 PDT)
- [sv-cc] Another header file uploaded Jim Vellenga (Wed Jun 27 2012 - 14:54:58 PDT)
- [sv-cc] Mantis proposal uploaded for 4130- please review Chuck Berking (Thu Jun 28 2012 - 12:09:39 PDT)
- [sv-cc] Parameters in VPI Radoslaw Nawrot (Wed Jul 04 2012 - 04:36:02 PDT)
- [sv-cc] SV-CC Agenda for Jul-11-2012 Charlie Dawson (Tue Jul 10 2012 - 22:38:49 PDT)
- [sv-cc] vpiClassDefn from vpiClassObj Radoslaw Nawrot (Wed Jul 11 2012 - 02:54:53 PDT)
- [sv-cc] Mantis issues left in the resolved state Rich, Dave (Wed Jul 11 2012 - 22:43:25 PDT)
- [sv-cc] Bug in process object model diagram Radoslaw Nawrot (Thu Jul 26 2012 - 06:37:49 PDT)
- [sv-cc] RE: IEEE P1800 Draft 6 (Preliminary) Download ready for review Rich, Dave (Thu Aug 09 2012 - 15:55:20 PDT)
- [sv-cc] vpiInstance 1 to 1 relation from Class variable Radoslaw Nawrot (Tue Sep 25 2012 - 03:49:49 PDT)
- [sv-cc] cbValueChange on SV String Radoslaw Nawrot (Mon Oct 15 2012 - 05:31:43 PDT)
- [sv-cc] bool: vpiExtern property is it exist ? Radoslaw Nawrot (Thu Oct 18 2012 - 01:09:00 PDT)
- [sv-cc] FW: Congratulations - P1800 is approved Brophy, Dennis (Wed Dec 05 2012 - 08:09:15 PST)
- [sv-cc] vpiTypeSpec or vpiTypespec typo Radoslaw Nawrot (Wed Dec 19 2012 - 05:19:08 PST)
- [sv-cc] Celebratoin Dinner - Wednesday, 27 February 2012 - Amber India, Santana Row, San Jose, CA USA Brophy, Dennis (Fri Feb 22 2013 - 09:17:12 PST)
- [sv-cc] {Spam?} Our Standard Has Published; Accellera Sponsors No Charge Access Brophy, Dennis (Mon Feb 25 2013 - 11:29:14 PST)
- [sv-cc] FW: P1735 draft review by your working group Brophy, Dennis (Fri Mar 22 2013 - 01:07:16 PDT)
- [sv-cc] RE: [P1800] FW: P1735 draft review by your working group Brophy, Dennis (Fri Mar 22 2013 - 01:10:16 PDT)
- [sv-cc] vpiCompOr Bresticker, Shalom (Wed Mar 27 2013 - 06:05:33 PDT)
- [sv-cc] Name of t/f vpiRefObj Radoslaw Nawrot (Wed Apr 24 2013 - 00:06:51 PDT)
- [sv-cc] FW: DAC Presentation of SV-2012 Enhancements - Cliff requests your input Bresticker, Shalom (Wed May 29 2013 - 10:50:07 PDT)
- [sv-cc] 37.37 Module path, path term typo Radoslaw Nawrot (Mon Jun 03 2013 - 02:17:36 PDT)
- [sv-cc] Array of net on module port Radoslaw Nawrot (Tue Jun 04 2013 - 00:56:38 PDT)
- [sv-cc] Inconsequences in Class definition object diagram Radoslaw Nawrot (Thu Jun 20 2013 - 05:01:07 PDT)
- [sv-cc] Proposal for a small change in vpi_user.h editorial Radoslaw Nawrot (Thu Jun 20 2013 - 05:27:12 PDT)
- [sv-cc] vpiInterModPath with delays in Verilog code Radoslaw Nawrot (Wed Jul 03 2013 - 02:51:09 PDT)
- [sv-cc] vpiQualifer should be vpiQualifier Radoslaw Nawrot (Mon Aug 05 2013 - 02:50:45 PDT)
- [sv-cc] Interface task or function declaration - clarification needed Radoslaw Nawrot (Mon Aug 19 2013 - 03:54:10 PDT)
- [sv-cc] vpiIsModPort property Radoslaw Nawrot (Thu Aug 22 2013 - 01:31:33 PDT)
- [sv-cc] Concatenation on vpiIODecl - vpiExpr relation Radoslaw Nawrot (Tue Aug 27 2013 - 04:50:46 PDT)
- [sv-cc] Callbacks on virtual interface var - proposal Radoslaw Nawrot (Thu Aug 29 2013 - 04:25:30 PDT)
- [sv-cc] Lack of vpiInterfaceTypespec in sv_vpi_user.h Radoslaw Nawrot (Thu Sep 05 2013 - 04:26:05 PDT)
- [sv-cc] Recall: Mantis proposal uploaded for 4130- please review Chuck Berking (Mon Sep 09 2013 - 07:46:26 PDT)
- [sv-cc] 37.25 Named events - editors bug Radoslaw Nawrot (Wed Sep 25 2013 - 05:29:55 PDT)
- [sv-cc] SystemVerilog 3.1a and 1800-2005 remains in 1800-2012 Radoslaw Nawrot (Thu Oct 10 2013 - 05:05:14 PDT)
- [sv-cc] RE: [sv-ec] SystemVerilog 3.1a and 1800-2005 remains in 1800-2012 Bresticker, Shalom (Thu Oct 10 2013 - 05:36:46 PDT)
- [sv-cc] vpiVirtualInterfaceVar and vpiClassVar as vpiRefObj Radosław Nawrot (Tue Dec 17 2013 - 01:32:36 PST)
- [sv-cc] String concatenation as dumpfile argument Radosław Nawrot (Thu Dec 19 2013 - 00:46:41 PST)
- [sv-cc] Object of class of objects as a property Radosław Nawrot (Thu Jan 16 2014 - 02:02:20 PST)
- Last message date: Thu Jan 16 2014 - 07:29:03 PST
- Archived on: Thu Jan 16 2014 - 07:29:08 PST